summaryrefslogtreecommitdiff
path: root/amiga-gary-dtack-fix.kicad_sch
diff options
context:
space:
mode:
Diffstat (limited to 'amiga-gary-dtack-fix.kicad_sch')
-rw-r--r--amiga-gary-dtack-fix.kicad_sch6527
1 files changed, 6527 insertions, 0 deletions
diff --git a/amiga-gary-dtack-fix.kicad_sch b/amiga-gary-dtack-fix.kicad_sch
new file mode 100644
index 0000000..14a75f3
--- /dev/null
+++ b/amiga-gary-dtack-fix.kicad_sch
@@ -0,0 +1,6527 @@
+(kicad_sch
+ (version 20231120)
+ (generator "eeschema")
+ (generator_version "8.0")
+ (uuid "96b7800d-39a7-49ce-a78c-3e3492b85c8e")
+ (paper "A4")
+ (lib_symbols
+ (symbol "74xx:74HCT00"
+ (pin_names
+ (offset 1.016)
+ )
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (at 0 1.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74HCT00"
+ (at 0 -1.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_locked" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "ki_keywords" "HCTMOS nand 2-input"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_fp_filters" "DIP*W7.62mm* SO14*"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "74HCT00_1_1"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "3"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_1_2"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "3"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_2_1"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "4"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "5"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "6"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_2_2"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "4"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "5"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "6"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_3_1"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "10"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "8"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "9"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_3_2"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "10"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "8"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "9"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_4_1"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "11"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "12"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "13"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_4_2"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "11"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "12"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "13"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_5_0"
+ (pin power_in line
+ (at 0 12.7 270)
+ (length 5.08)
+ (name "VCC"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "14"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 0 -12.7 90)
+ (length 5.08)
+ (name "GND"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "7"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_5_1"
+ (rectangle
+ (start -5.08 7.62)
+ (end 5.08 -7.62)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ )
+ )
+ (symbol "Amiga_Custom_Chips:Gary"
+ (pin_names
+ (offset 0.762)
+ )
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (at 0 2.54 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "Gary"
+ (at 0 -3.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_DIP:DIP-48_W15.24mm_Socket_LongPads"
+ (at 0 -10.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 0 -10.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "A500 timing belt"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "Amiga 500 2000 Custom Chip"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "Gary_0_1"
+ (rectangle
+ (start -17.78 46.99)
+ (end 17.78 -46.99)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ )
+ (symbol "Gary_1_1"
+ (text "Control"
+ (at 0 34.036 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (text "Floppy"
+ (at 0.508 -34.798 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (pin power_in line
+ (at -1.27 -50.8 90)
+ (length 3.81)
+ (name "GND"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -25.4 6.35 0)
+ (length 7.62)
+ (name "_LDS"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "10"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -25.4 3.81 0)
+ (length 7.62)
+ (name "_UDS"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "11"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 1.27 0)
+ (length 7.62)
+ (name "R/W"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "12"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -25.4 -1.27 0)
+ (length 7.62)
+ (name "_AS"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "13"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -25.4 -6.35 0)
+ (length 7.62)
+ (name "_BGACK"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "14"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -1.27 180)
+ (length 7.62)
+ (name "_BLIT"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "15"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -35.56 180)
+ (length 7.62)
+ (name "_SEL"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "16"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 0 50.8 270)
+ (length 3.81)
+ (name "Vcc"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "17"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 3.81 180)
+ (length 7.62)
+ (name "_REGEN"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "18"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 1.27 180)
+ (length 7.62)
+ (name "_BLISS"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "19"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 8.89 0)
+ (length 7.62)
+ (name "_VPA"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 6.35 180)
+ (length 7.62)
+ (name "_RAMEN"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "20"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 29.21 180)
+ (length 7.62)
+ (name "_ROMEN"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "21"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 21.59 180)
+ (length 7.62)
+ (name "_CLKRD"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "22"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 17.78 180)
+ (length 7.62)
+ (name "_CLKWR"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "23"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 1.27 -50.8 90)
+ (length 3.81)
+ (name "GND"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "24"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 39.37 0)
+ (length 7.62)
+ (name "_LATCH"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "25"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted_clock
+ (at 25.4 -7.62 180)
+ (length 7.62)
+ (name "_CDAC"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "26"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input clock
+ (at 25.4 -12.7 180)
+ (length 7.62)
+ (name "CCKQ"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "27"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input clock
+ (at 25.4 -10.16 180)
+ (length 7.62)
+ (name "CCK"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "28"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 44.45 180)
+ (length 7.62)
+ (name "_OVR"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "29"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 41.91 0)
+ (length 7.62)
+ (name "_OEL"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "3"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at 25.4 40.64 180)
+ (length 7.62)
+ (name "OVL"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "30"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at 25.4 36.83 180)
+ (length 7.62)
+ (name "XRDY"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "31"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 25.4 180)
+ (length 7.62)
+ (name "_EXRAM"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "32"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 17.78 0)
+ (length 7.62)
+ (name "A17"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "33"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 20.32 0)
+ (length 7.62)
+ (name "A18"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "34"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 22.86 0)
+ (length 7.62)
+ (name "A19"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "35"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 25.4 0)
+ (length 7.62)
+ (name "A20"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "36"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 27.94 0)
+ (length 7.62)
+ (name "A21"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "37"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 30.48 0)
+ (length 7.62)
+ (name "A22"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "38"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 33.02 0)
+ (length 7.62)
+ (name "A23"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "39"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 44.45 0)
+ (length 7.62)
+ (name "_OEB"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "4"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 3.81 -50.8 90)
+ (length 3.81)
+ (name "GND"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "40"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 -15.24 0)
+ (length 7.62)
+ (name "_RST"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "41"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 -12.7 0)
+ (length 7.62)
+ (name "_HLT"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "42"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 -3.81 0)
+ (length 7.62)
+ (name "_DTACK"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "43"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at -25.4 -44.45 0)
+ (length 7.62)
+ (name "DKWEB"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "44"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at -25.4 -41.91 0)
+ (length 7.62)
+ (name "DKWDB"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "45"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at -25.4 -35.56 0)
+ (length 7.62)
+ (name "MTRON"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "46"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at -25.4 -33.02 0)
+ (length 7.62)
+ (name "MTRX"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "47"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 2.54 50.8 270)
+ (length 3.81)
+ (name "Vcc"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "48"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -26.67 180)
+ (length 7.62)
+ (name "_KBRESET"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "5"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at -2.54 50.8 270)
+ (length 3.81)
+ (name "Vcc"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "6"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -33.02 180)
+ (length 7.62)
+ (name "_MTR"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "7"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at 25.4 -44.45 180)
+ (length 7.62)
+ (name "DKWE"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "8"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -41.91 180)
+ (length 7.62)
+ (name "_DKWD"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "9"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ (symbol "Device:C_Small"
+ (pin_numbers hide)
+ (pin_names
+ (offset 0.254) hide)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "C"
+ (at 0.254 1.778 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "C_Small"
+ (at 0.254 -2.032 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Unpolarized capacitor, small symbol"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "capacitor cap"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_fp_filters" "C_*"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "C_Small_0_1"
+ (polyline
+ (pts
+ (xy -1.524 -0.508) (xy 1.524 -0.508)
+ )
+ (stroke
+ (width 0.3302)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy -1.524 0.508) (xy 1.524 0.508)
+ )
+ (stroke
+ (width 0.3048)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ )
+ (symbol "C_Small_1_1"
+ (pin passive line
+ (at 0 2.54 270)
+ (length 2.032)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin passive line
+ (at 0 -2.54 90)
+ (length 2.032)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ (symbol "power:GND"
+ (power)
+ (pin_numbers hide)
+ (pin_names
+ (offset 0) hide)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "#PWR"
+ (at 0 -6.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 0 -3.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "global power"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "GND_0_1"
+ (polyline
+ (pts
+ (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ )
+ (symbol "GND_1_1"
+ (pin power_in line
+ (at 0 0 270)
+ (length 0)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ (symbol "power:VCC"
+ (power)
+ (pin_numbers hide)
+ (pin_names
+ (offset 0) hide)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "#PWR"
+ (at 0 -3.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC"
+ (at 0 3.556 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "global power"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "VCC_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27) (xy 0 2.54)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 0) (xy 0 2.54)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 2.54) (xy 0.762 1.27)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ )
+ (symbol "VCC_1_1"
+ (pin power_in line
+ (at 0 0 90)
+ (length 0)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ )
+ (junction
+ (at 218.44 115.57)
+ (diameter 0)
+ (color 0 0 0 0)
+ (uuid "a428afdd-dc39-48c8-8dc8-d83f1e38e2ab")
+ )
+ (junction
+ (at 54.61 187.96)
+ (diameter 0)
+ (color 0 0 0 0)
+ (uuid "bd3fa6d6-bb5d-4952-8a82-ff05bbb10242")
+ )
+ (junction
+ (at 218.44 97.79)
+ (diameter 0)
+ (color 0 0 0 0)
+ (uuid "e06a50e2-c31c-4c0a-9d03-0f324f8a48ed")
+ )
+ (junction
+ (at 130.81 187.96)
+ (diameter 0)
+ (color 0 0 0 0)
+ (uuid "e429571f-7d90-4abc-a4f4-4655774f2e84")
+ )
+ (no_connect
+ (at 233.68 113.03)
+ (uuid "501ab9a5-35ec-4124-8dc5-c6bbc525fc00")
+ )
+ (no_connect
+ (at 233.68 95.25)
+ (uuid "c93615f3-d2d6-4f74-ab2b-ba2d86b25e63")
+ )
+ (wire
+ (pts
+ (xy 44.45 86.36) (xy 50.8 86.36)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "04be939f-e9a7-49d5-a1a7-ebed983cf2f5")
+ )
+ (wire
+ (pts
+ (xy 218.44 97.79) (xy 218.44 100.33)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "09aee934-5685-4d93-8ad6-9adc799434f7")
+ )
+ (wire
+ (pts
+ (xy 22.86 43.18) (xy 44.45 43.18)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "0c9778e6-4436-48d4-bf23-ae37e2ae4b45")
+ )
+ (wire
+ (pts
+ (xy 130.81 187.96) (xy 133.35 187.96)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "16a7dc45-e14a-4ef6-b5bf-cff0c2d6a1ea")
+ )
+ (wire
+ (pts
+ (xy 120.65 86.36) (xy 127 86.36)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "47315ca0-28bd-4e23-874b-267a0b561fc7")
+ )
+ (wire
+ (pts
+ (xy 132.08 86.36) (xy 138.43 86.36)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "7742a043-4326-49d8-9ee6-e207fc5fbe8f")
+ )
+ (wire
+ (pts
+ (xy 54.61 187.96) (xy 57.15 187.96)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "7d73edb9-0b57-45f4-8b8c-1df6fe014f2d")
+ )
+ (wire
+ (pts
+ (xy 218.44 115.57) (xy 218.44 118.11)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "9157338d-36d1-48cb-ab24-b4ad0e394c33")
+ )
+ (wire
+ (pts
+ (xy 218.44 110.49) (xy 218.44 115.57)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "9196a2b4-fbf0-44b2-b914-c0a7b383b0cd")
+ )
+ (wire
+ (pts
+ (xy 59.69 40.64) (xy 73.66 40.64)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "9a87d316-b9fc-4eaa-9418-9ee57f09349a")
+ )
+ (wire
+ (pts
+ (xy 128.27 187.96) (xy 130.81 187.96)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "b0b6700a-2b2c-4a04-af4c-5f69b3c7c505")
+ )
+ (wire
+ (pts
+ (xy 52.07 187.96) (xy 54.61 187.96)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "bafa1297-ca40-4739-a712-6a41ee9b10aa")
+ )
+ (wire
+ (pts
+ (xy 55.88 86.36) (xy 62.23 86.36)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "c86536ec-ba47-4725-a35e-8389a39345a7")
+ )
+ (wire
+ (pts
+ (xy 218.44 92.71) (xy 218.44 97.79)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "cad2bdb1-0a0b-4e64-9a20-e5f85b825ea6")
+ )
+ (text "Gary _DTACK fix on ROM accesses:\n\nEarly Toshiba manufactured Gary chips would wait for\nAgnus activity to finish before asserting _DTACK, even\nif the access was for the ROM. Hence the ROM was as\nslow as Chip-RAM.\n\nThis fix deasserts _BLIT whenever Gary decodes an\naddress as a ROM address. Thus Gary will assert\n_DTACK, speeding up ROM accesses by making them\nindependent of Chip-RAM slowdowns.\n\nSource: A2000 schematics at the end of the manual."
+ (exclude_from_sim no)
+ (at 110.998 26.416 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left top)
+ )
+ (uuid "033bfbc3-5895-40d6-8f21-523015f39ab3")
+ )
+ (global_label "A19"
+ (shape bidirectional)
+ (at 104.14 114.3 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "002ac6e9-a51b-4a32-8755-056f516121bf")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 114.3 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A21"
+ (shape bidirectional)
+ (at 104.14 109.22 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "00917b84-b0a7-47fe-a2f1-28c47278035b")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 109.22 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BGACK"
+ (shape bidirectional)
+ (at 104.14 143.51 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "04f565af-c60a-419d-a03f-145508400bf8")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 92.9073 143.51 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "CCK"
+ (shape bidirectional)
+ (at 154.94 147.32 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "0877569e-03b4-426e-a038-cb7fc4f6905e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 162.8465 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_REGEN"
+ (shape bidirectional)
+ (at 78.74 133.35 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "0d618d18-2b6c-4445-a619-4c337c21a1c8")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 89.9726 133.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_SEL"
+ (shape bidirectional)
+ (at 154.94 172.72 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "0de5fe99-e933-473f-a72b-c8642aa0ac8e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 163.3907 172.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A17"
+ (shape bidirectional)
+ (at 27.94 119.38 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "111869a2-af4e-435d-ac9b-3ba3f0f1ad9a")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 119.38 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_SEL"
+ (shape bidirectional)
+ (at 78.74 172.72 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "13bcb6f6-0b04-4c42-8662-ea7ddae7afcf")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.1907 172.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_DKWD"
+ (shape bidirectional)
+ (at 154.94 179.07 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "1a18dd5a-a3b2-405a-b4af-efd540c7079f")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 165.2655 179.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_AS"
+ (shape bidirectional)
+ (at 27.94 138.43 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "1ba2a4e9-43c2-4345-b1fc-05c60e93eb14")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.5778 138.43 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A18"
+ (shape bidirectional)
+ (at 27.94 116.84 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "1bfb1953-99dd-4315-ade8-908773028dac")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 116.84 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLIT_GARY"
+ (shape bidirectional)
+ (at 78.74 138.43 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "1d70eb18-0a62-4d3e-a892-97129e6677f7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.3594 138.43 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CLKWR"
+ (shape bidirectional)
+ (at 78.74 119.38 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "2025736f-ebd8-45f9-b6de-603635e24250")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 90.0936 119.38 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_LATCH"
+ (shape bidirectional)
+ (at 27.94 97.79 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2378f97a-f9a1-4d72-aa1e-1e73e79b583f")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.1911 97.79 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A22"
+ (shape bidirectional)
+ (at 104.14 106.68 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "24bb18b8-dc19-4732-9ef5-a29989ad0455")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 106.68 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A23"
+ (shape bidirectional)
+ (at 104.14 104.14 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2a063413-5ef6-4374-8126-1c063af7be38")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 104.14 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWE"
+ (shape bidirectional)
+ (at 154.94 181.61 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "2b9fc588-6c37-4039-9469-409cf1af8670")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 164.1769 181.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWEB"
+ (shape bidirectional)
+ (at 104.14 181.61 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2c10994c-5f63-4e0f-b412-41524b6621f7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.6331 181.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "MTRX"
+ (shape bidirectional)
+ (at 104.14 170.18 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2ca88c06-0007-41bb-a8dd-5e320c54a02d")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.145 170.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A17"
+ (shape bidirectional)
+ (at 104.14 119.38 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2de9e730-ba83-4e00-9d1c-1c77dc294d22")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 119.38 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWDB"
+ (shape bidirectional)
+ (at 104.14 179.07 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2e94be71-8afb-4d20-9f3b-fd5bd0aee7ee")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.5121 179.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_MTR"
+ (shape bidirectional)
+ (at 78.74 170.18 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "2f061c09-6b07-420d-b76a-9d235c996e69")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.4931 170.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "MTRON"
+ (shape bidirectional)
+ (at 104.14 172.72 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "33171333-e010-4df0-8e61-d4b3c1a2ed3a")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.6935 172.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A23"
+ (shape bidirectional)
+ (at 27.94 104.14 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "3ba4d21d-e9a3-4b43-aab3-d35e45215bff")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 104.14 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "OVL"
+ (shape bidirectional)
+ (at 78.74 96.52 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "3c1d8475-8f2f-48b7-9555-3d6e607b82b7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 86.2837 96.52 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEB"
+ (shape bidirectional)
+ (at 104.14 92.71 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "45490ca9-3794-4d02-80c7-e858212c687e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.3264 92.71 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_REGEN"
+ (shape bidirectional)
+ (at 154.94 133.35 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "483fc657-19db-45ee-9000-a922faa90b19")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.1726 133.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_RAMEN"
+ (shape bidirectional)
+ (at 78.74 130.81 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "48d64f1d-cf56-495d-8e54-59aa10acbf39")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 90.0936 130.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWDB"
+ (shape bidirectional)
+ (at 27.94 179.07 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "4dcd717a-0641-4d45-ae58-113fa2679904")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.3121 179.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLIT_GARY"
+ (shape bidirectional)
+ (at 88.9 38.1 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "4e483453-c672-48ce-8757-351cd472a03f")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 103.5194 38.1 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "XRDY"
+ (shape bidirectional)
+ (at 154.94 100.33 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "4f39143b-f124-4dd9-8e05-545287aa3f45")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 163.8746 100.33 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_KBRESET"
+ (shape bidirectional)
+ (at 154.94 163.83 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "5bce98be-c80f-4754-ab47-64c355aaf329")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 168.2892 163.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_KBRESET"
+ (shape bidirectional)
+ (at 78.74 163.83 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "5d9999ea-2c1f-4579-8db2-6f8ee2002a6b")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 92.0892 163.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "MTRX"
+ (shape bidirectional)
+ (at 27.94 170.18 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "6bd87ae8-a0a0-493f-bf39-a85142e1a498")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 18.945 170.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_DTACK"
+ (shape bidirectional)
+ (at 27.94 140.97 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "6d3a737a-767c-46a1-9138-0695a36a5cd9")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.0097 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "MTRON"
+ (shape bidirectional)
+ (at 27.94 172.72 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "724192b0-d734-46ab-8cd0-46410044d461")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.4935 172.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_RST"
+ (shape bidirectional)
+ (at 104.14 152.4 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "728312a6-1926-45a2-b1d1-1376f8be7658")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.6288 152.4 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLIT_AMIGA"
+ (shape bidirectional)
+ (at 154.94 138.43 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "7787cccb-56b6-4405-b672-afc3b84f6dbd")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 170.3456 138.43 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_DKWD"
+ (shape bidirectional)
+ (at 78.74 179.07 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "78be350b-da0f-4f39-a149-7395a1c15620")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 89.0655 179.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_LDS"
+ (shape bidirectional)
+ (at 27.94 130.81 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "7f26d54d-a50f-4d2a-98d5-07665a485163")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.3683 130.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_EXRAM"
+ (shape bidirectional)
+ (at 78.74 111.76 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "809b19d7-21e9-4b4e-8e06-15283317c755")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.6574 111.76 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_HLT"
+ (shape bidirectional)
+ (at 27.94 149.86 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "81ceea28-57f6-4b95-be7a-01f2d6ac75b6")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.5497 149.86 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEB"
+ (shape bidirectional)
+ (at 27.94 92.71 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "8416f033-3714-494c-9663-4d4190ed6424")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.1264 92.71 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_HLT"
+ (shape bidirectional)
+ (at 104.14 149.86 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "88825edb-8caa-4b6b-a4a6-79eb8655de03")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.7497 149.86 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLISS"
+ (shape bidirectional)
+ (at 154.94 135.89 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "8e743f9e-5d66-4c16-83e5-9d4a04ee6e2e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 165.326 135.89 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_ROMEN"
+ (shape bidirectional)
+ (at 154.94 107.95 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "95c6469a-2490-45bc-883f-56cc7b92047b")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.5355 107.95 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "R{slash}W"
+ (shape bidirectional)
+ (at 104.14 135.89 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "9cae0be4-7eb6-4a96-8305-ab6065069c33")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.9916 135.89 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "XRDY"
+ (shape bidirectional)
+ (at 78.74 100.33 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "9e614fdf-628a-4c0a-91ae-509214535133")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.6746 100.33 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A19"
+ (shape bidirectional)
+ (at 27.94 114.3 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "a32df30d-1a99-4e68-a05a-587a7d4be1c6")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 114.3 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_ROMEN"
+ (shape bidirectional)
+ (at 73.66 35.56 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "a64d813b-ccee-4cb7-92c0-e5ea8f8ae064")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 62.0645 35.56 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A20"
+ (shape bidirectional)
+ (at 104.14 111.76 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "a668482b-a46e-4e1f-9572-46e1d1c168ff")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 111.76 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_VPA"
+ (shape bidirectional)
+ (at 104.14 128.27 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "acb0b44a-5d2f-4705-85c7-8c3d168c8cd2")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.6287 128.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLIT_AMIGA"
+ (shape bidirectional)
+ (at 44.45 38.1 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "ae49a8c5-d12d-4b9c-9951-ffc77a9092bf")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 29.0444 38.1 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_ROMEN"
+ (shape bidirectional)
+ (at 78.74 107.95 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "b000674e-cd2d-4307-86be-487559517e3d")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 90.3355 107.95 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_DTACK"
+ (shape bidirectional)
+ (at 104.14 140.97 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b329e7a4-3e07-4b55-a9dc-e54e242b0121")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.2097 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_VPA"
+ (shape bidirectional)
+ (at 27.94 128.27 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b3634d0a-1213-42c7-8234-2d4c9ff6a930")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.4287 128.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEL"
+ (shape bidirectional)
+ (at 27.94 95.25 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b50eb8c1-ccb5-4361-b09d-1d6a7dad3072")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.3683 95.25 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CLKRD"
+ (shape bidirectional)
+ (at 154.94 115.57 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "b5f70139-508b-4fe3-ad84-fea9ff3cc330")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.1122 115.57 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A22"
+ (shape bidirectional)
+ (at 27.94 106.68 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b8dcf196-6078-44af-a108-1e80609ccd4b")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 106.68 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "CCKQ"
+ (shape bidirectional)
+ (at 154.94 149.86 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "b9667119-124f-4b33-937d-fea58e9b48f7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 164.177 149.86 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_AS"
+ (shape bidirectional)
+ (at 104.14 138.43 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b986d4cd-7c48-4777-9e6f-9aefe2279be6")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.7778 138.43 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWEB"
+ (shape bidirectional)
+ (at 27.94 181.61 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "bd482fda-6f8f-4207-8210-2c78c5fdc916")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.4331 181.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_UDS"
+ (shape bidirectional)
+ (at 27.94 133.35 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "c0711ea3-3b79-4c94-8387-d878a0fb145e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.0659 133.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CLKRD"
+ (shape bidirectional)
+ (at 78.74 115.57 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "c3632a71-94ee-4746-8762-731535af6562")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 89.9122 115.57 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "OVL"
+ (shape bidirectional)
+ (at 154.94 96.52 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "c69c1f3d-e5fa-40e1-a57e-24ea44d916bb")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 162.4837 96.52 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CDAC"
+ (shape bidirectional)
+ (at 154.94 144.78 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "c74e477c-cc63-4dca-8e9f-ba0182d1a362")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 164.9027 144.78 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "CCK"
+ (shape bidirectional)
+ (at 78.74 147.32 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "c9e10e87-cdd9-49f3-b959-fa42fcb8f7a0")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 86.6465 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_EXRAM"
+ (shape bidirectional)
+ (at 154.94 111.76 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "cb4ffa05-0d32-4d9e-aa93-87c119b685bd")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 171.8574 111.76 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_LATCH"
+ (shape bidirectional)
+ (at 104.14 97.79 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "d396d582-67dd-4d96-9318-6d035b5fe891")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.3911 97.79 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_UDS"
+ (shape bidirectional)
+ (at 104.14 133.35 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "da941a2d-388e-4b14-b66f-960c09db5b6f")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.2659 133.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_MTR"
+ (shape bidirectional)
+ (at 154.94 170.18 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "dad2cd1d-b17e-456f-8907-b39d5c8a545c")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 163.6931 170.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OVR"
+ (shape bidirectional)
+ (at 154.94 92.71 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "db4eed61-ade8-4fcc-abb9-fb643c0af0ee")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 163.6932 92.71 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "CCKQ"
+ (shape bidirectional)
+ (at 78.74 149.86 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "dceb400d-cbf1-4e88-a9c3-d9a207e1f7ad")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.977 149.86 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWE"
+ (shape bidirectional)
+ (at 78.74 181.61 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "e10b6bdf-5d89-4ab9-ae7b-5b2f5603f576")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.9769 181.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A21"
+ (shape bidirectional)
+ (at 27.94 109.22 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "e17b9a80-f655-413e-949b-b7795eb98cd7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 109.22 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OVR"
+ (shape bidirectional)
+ (at 78.74 92.71 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "e1b2929c-85e0-4d6a-9fd8-201f6ecff6c8")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.4932 92.71 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLISS"
+ (shape bidirectional)
+ (at 78.74 135.89 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "e21f26bf-9b44-4e5f-82a0-3451401048f6")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 89.126 135.89 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A18"
+ (shape bidirectional)
+ (at 104.14 116.84 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "e4011a34-9d33-4e9a-a1c2-8203d0b8e376")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 116.84 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BGACK"
+ (shape bidirectional)
+ (at 27.94 143.51 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "e435d2c5-84a3-45e7-9c4c-c277f0ed9534")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 16.7073 143.51 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_RST"
+ (shape bidirectional)
+ (at 27.94 152.4 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "ed5ff48c-47f2-48ca-b635-9aa0225160b3")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.4288 152.4 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A20"
+ (shape bidirectional)
+ (at 27.94 111.76 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "edcf7c6b-d1c0-440f-975b-820dfc205783")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 111.76 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_RAMEN"
+ (shape bidirectional)
+ (at 154.94 130.81 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "f01cecc7-f099-47f6-aac3-36df9ced9894")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.2936 130.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEL"
+ (shape bidirectional)
+ (at 104.14 95.25 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "f4dbc369-c38f-4996-b1c7-ae2a0ce5a694")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.5683 95.25 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "R{slash}W"
+ (shape bidirectional)
+ (at 27.94 135.89 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "f75ee55a-3e66-4ac6-a0ad-5419534d7dcc")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.7916 135.89 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CLKWR"
+ (shape bidirectional)
+ (at 154.94 119.38 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "f800b7e5-e6c2-4089-a32f-e4261c409d41")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.2936 119.38 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CDAC"
+ (shape bidirectional)
+ (at 78.74 144.78 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "fa4d8578-30fc-45a4-b79d-72ca5a2f8fbb")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 88.7027 144.78 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_LDS"
+ (shape bidirectional)
+ (at 104.14 130.81 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "fe218710-d653-48de-9dc6-29af44c41daa")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.5683 130.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 204.47 52.07 0)
+ (unit 5)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "0dad15b0-be47-46a6-ae38-80cc0554e271")
+ (property "Reference" "U3"
+ (at 210.312 50.8578 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 210.312 53.2821 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 204.47 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 204.47 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 204.47 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "JLCPCB" ""
+ (at 204.47 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "LCSC" "C155451"
+ (at 204.47 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "335b2ac2-c2a3-4d5c-b036-09baa954ac80")
+ )
+ (pin "11"
+ (uuid "35ad4a89-5d40-4068-a3c8-799ac6377d54")
+ )
+ (pin "12"
+ (uuid "68fda72c-7f8a-49fb-b90e-4e87c2a60eed")
+ )
+ (pin "5"
+ (uuid "b4f43126-87c4-4b23-b923-46309eb45b27")
+ )
+ (pin "9"
+ (uuid "5c13a677-90d8-454f-9895-593923073fdd")
+ )
+ (pin "3"
+ (uuid "a98134e7-0ef6-4e33-a77d-1b2ed89492d0")
+ )
+ (pin "2"
+ (uuid "680f2abe-53ad-4143-894d-0e6fee71420c")
+ )
+ (pin "1"
+ (uuid "eb9de6c1-07a6-406a-8f75-3f85207fa5af")
+ )
+ (pin "8"
+ (uuid "27e1272d-88f0-4ea9-a1d7-89d3351cd2ec")
+ )
+ (pin "6"
+ (uuid "73358cd4-d75d-445f-a343-f241afbfd5fb")
+ )
+ (pin "7"
+ (uuid "37e3c58b-9d46-4300-90cb-267835684f2e")
+ )
+ (pin "13"
+ (uuid "0784a09a-aa22-497e-9d6c-de23ca125b23")
+ )
+ (pin "10"
+ (uuid "992ec03f-eb85-496c-b1e4-c97c969d0849")
+ )
+ (pin "4"
+ (uuid "abac4ea6-e347-4557-87ce-79c1b6f6fc00")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 5)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 226.06 95.25 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "0f2c19e8-4fe0-4d6d-8f29-55f2e87983fa")
+ (property "Reference" "U3"
+ (at 226.0517 87.2955 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 226.0517 89.7198 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 226.06 95.25 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 226.06 95.25 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 226.06 95.25 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "JLCPCB" ""
+ (at 226.06 95.25 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "LCSC" "C155451"
+ (at 226.06 95.25 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "335b2ac2-c2a3-4d5c-b036-09baa954ac81")
+ )
+ (pin "11"
+ (uuid "35ad4a89-5d40-4068-a3c8-799ac6377d55")
+ )
+ (pin "12"
+ (uuid "68fda72c-7f8a-49fb-b90e-4e87c2a60eee")
+ )
+ (pin "5"
+ (uuid "b4f43126-87c4-4b23-b923-46309eb45b28")
+ )
+ (pin "9"
+ (uuid "5c13a677-90d8-454f-9895-593923073fde")
+ )
+ (pin "3"
+ (uuid "a98134e7-0ef6-4e33-a77d-1b2ed89492d1")
+ )
+ (pin "2"
+ (uuid "680f2abe-53ad-4143-894d-0e6fee71420d")
+ )
+ (pin "1"
+ (uuid "eb9de6c1-07a6-406a-8f75-3f85207fa5b0")
+ )
+ (pin "8"
+ (uuid "27e1272d-88f0-4ea9-a1d7-89d3351cd2ed")
+ )
+ (pin "6"
+ (uuid "73358cd4-d75d-445f-a343-f241afbfd5fc")
+ )
+ (pin "7"
+ (uuid "37e3c58b-9d46-4300-90cb-267835684f2f")
+ )
+ (pin "13"
+ (uuid "0784a09a-aa22-497e-9d6c-de23ca125b24")
+ )
+ (pin "10"
+ (uuid "992ec03f-eb85-496c-b1e4-c97c969d084a")
+ )
+ (pin "4"
+ (uuid "abac4ea6-e347-4557-87ce-79c1b6f6fc01")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Amiga_Custom_Chips:Gary")
+ (at 53.34 137.16 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "1458920f-5f89-4929-ad83-1051c7bfea4e")
+ (property "Reference" "U1"
+ (at 58.0741 86.0255 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "Gary"
+ (at 58.0741 88.4498 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" "Package_DIP:DIP-48_W15.24mm_Socket_LongPads"
+ (at 53.34 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 53.34 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "A500 timing belt"
+ (at 53.34 137.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "JLCPCB" ""
+ (at 53.34 137.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "LCSC" "C72120"
+ (at 53.34 137.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "33"
+ (uuid "3e063da7-f0aa-430d-84ee-304c4c868c2c")
+ )
+ (pin "29"
+ (uuid "8f18bed0-cdab-449e-808f-735d72c86725")
+ )
+ (pin "39"
+ (uuid "94213489-c5cb-4e75-862c-70f724698604")
+ )
+ (pin "19"
+ (uuid "f58d5eb0-3c1f-487b-b0b6-d9200d76e37b")
+ )
+ (pin "35"
+ (uuid "dfc05e9a-24f5-47d2-9572-edd8c128522f")
+ )
+ (pin "18"
+ (uuid "b7dbb2ea-e726-4c2d-97ba-ceadf6a6f55f")
+ )
+ (pin "17"
+ (uuid "5611ac17-7bb4-4e44-b259-75b3540e9862")
+ )
+ (pin "23"
+ (uuid "c05a33e7-8e1b-43b3-b0d3-b88d6ad660d5")
+ )
+ (pin "7"
+ (uuid "5c35b45b-c106-4e9c-948e-3464a0125394")
+ )
+ (pin "40"
+ (uuid "d8dda61e-65c9-464d-bb80-d4c1cc1c16e7")
+ )
+ (pin "48"
+ (uuid "45c00362-9428-4a79-8a73-b372aa412120")
+ )
+ (pin "22"
+ (uuid "ae5e1968-0541-46d3-9604-8ca5387f44cf")
+ )
+ (pin "46"
+ (uuid "5d69edf5-5983-4163-a62c-297e74dba7f0")
+ )
+ (pin "15"
+ (uuid "850caf47-41b1-449c-8532-7e9f1d8e95c2")
+ )
+ (pin "9"
+ (uuid "98164287-ef8e-4017-8e2d-a5e08c31221e")
+ )
+ (pin "43"
+ (uuid "7f9a134e-71a7-4294-809b-76b76456acb0")
+ )
+ (pin "2"
+ (uuid "0434b918-d3a9-4c9b-8259-c06008321b30")
+ )
+ (pin "5"
+ (uuid "de4aec71-08b3-42f3-914e-c9286490a0e4")
+ )
+ (pin "42"
+ (uuid "11766ae4-3e45-41d1-b071-b6b27838e205")
+ )
+ (pin "3"
+ (uuid "3e14e784-4ea4-4b99-808a-e1d8ef5fe6f9")
+ )
+ (pin "27"
+ (uuid "53d0533d-446d-425c-bedd-c706e0648fb8")
+ )
+ (pin "20"
+ (uuid "b9152307-6858-4c13-854f-148a15a0c35d")
+ )
+ (pin "45"
+ (uuid "6dddf60a-f7e4-4f45-9eaf-ec4ba19f208a")
+ )
+ (pin "32"
+ (uuid "3b3edee7-0dae-4863-9574-599c1c12cc75")
+ )
+ (pin "4"
+ (uuid "ff9e2957-6cc9-4435-94ee-66f17c590a8b")
+ )
+ (pin "30"
+ (uuid "498232f0-784a-4e25-b311-05a253209a42")
+ )
+ (pin "44"
+ (uuid "660c0a7d-8d9a-4949-b610-a2d5c54509b9")
+ )
+ (pin "6"
+ (uuid "981d6a91-4535-47f3-85c6-bb90db6db5d0")
+ )
+ (pin "26"
+ (uuid "74f0fce5-c393-4243-b372-f859ce90de1f")
+ )
+ (pin "38"
+ (uuid "21f242b6-6d10-483d-b0b4-a138eae12c0c")
+ )
+ (pin "34"
+ (uuid "303e80ca-4b98-48f2-afb9-7f48b79c4820")
+ )
+ (pin "41"
+ (uuid "46fb26f4-57c4-4c92-9b66-515b90426675")
+ )
+ (pin "8"
+ (uuid "83ed165c-edd7-4d31-85aa-79e9a58c508a")
+ )
+ (pin "37"
+ (uuid "b59760e4-197d-4b38-8657-4732137db420")
+ )
+ (pin "36"
+ (uuid "58ddf3bf-9936-4d1d-a6cc-264f0ee33a2f")
+ )
+ (pin "47"
+ (uuid "bc98697c-8e6c-4bae-91fb-45fb4b5a5e20")
+ )
+ (pin "31"
+ (uuid "ac24a47a-9811-4c6a-8285-9d6cd9656b52")
+ )
+ (pin "24"
+ (uuid "0f5883f0-23c1-46a2-9434-e4923160570c")
+ )
+ (pin "28"
+ (uuid "c81182c4-d4df-4649-9717-df7bed4d23ee")
+ )
+ (pin "25"
+ (uuid "1239b58a-924a-40e7-a6b2-68e70d275e4d")
+ )
+ (pin "21"
+ (uuid "dc2aad1a-3e8c-46fb-9592-38d95778b235")
+ )
+ (pin "11"
+ (uuid "1b08b020-61d4-4e1f-9dd1-a864060a3950")
+ )
+ (pin "14"
+ (uuid "67521faa-220d-4110-85a6-9e99a3660474")
+ )
+ (pin "12"
+ (uuid "60688308-9cee-4ab7-9811-6d876be1d9dc")
+ )
+ (pin "13"
+ (uuid "634e45a1-b1e9-4d1d-a89b-bebd229a4a15")
+ )
+ (pin "16"
+ (uuid "9468bb87-2c43-418f-9c3a-a8764293200b")
+ )
+ (pin "10"
+ (uuid "2bde0fa1-6e37-49dd-ae5a-dd83bdb4b05c")
+ )
+ (pin "1"
+ (uuid "e46fac82-38da-4016-bf46-b1d022b636bb")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U1")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Device:C_Small")
+ (at 233.68 52.07 180)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "1c6ac713-a7a3-43c3-8390-6a5f149b61c7")
+ (property "Reference" "C1"
+ (at 236.0041 50.8514 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ )
+ (property "Value" "100nF"
+ (at 236.0041 53.2757 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ )
+ (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder"
+ (at 233.68 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 233.68 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Unpolarized capacitor, small symbol"
+ (at 233.68 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "JLCPCB" "C49678"
+ (at 233.68 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "LCSC" ""
+ (at 233.68 52.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "2"
+ (uuid "78e5e37c-a6c1-47e2-9e08-a5a8931a54ce")
+ )
+ (pin "1"
+ (uuid "7c10df98-3229-4e3e-97f6-35628e421806")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "C1")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 204.47 39.37 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "298141d7-4070-4bc1-bda7-623ec211cf66")
+ (property "Reference" "#PWR05"
+ (at 204.47 43.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC2"
+ (at 204.47 35.2369 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 204.47 39.37 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 204.47 39.37 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 204.47 39.37 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "35bb16bc-fe37-4609-8e50-d943be24b01e")
+ )
+ (instances
+ (project "amiga-gary-dtack-fix"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR05")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 81.28 38.1 0)
+ (unit 3)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "359ac313-b21b-4262-9f4a-1d660e97916d")
+ (property "Reference" "U3"
+ (at 81.2717 30.1455 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 81.2717 32.5698 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 81.28 38.1 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 81.28 38.1 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 81.28 38.1 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "JLCPCB" ""
+ (at 81.28 38.1 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "LCSC" "C155451"
+ (at 81.28 38.1 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "335b2ac2-c2a3-4d5c-b036-09baa954ac82")
+ )
+ (pin "11"
+ (uuid "35ad4a89-5d40-4068-a3c8-799ac6377d56")
+ )
+ (pin "12"
+ (uuid "68fda72c-7f8a-49fb-b90e-4e87c2a60eef")
+ )
+ (pin "5"
+ (uuid "b4f43126-87c4-4b23-b923-46309eb45b29")
+ )
+ (pin "9"
+ (uuid "5c13a677-90d8-454f-9895-593923073fdf")
+ )
+ (pin "3"
+ (uuid "a98134e7-0ef6-4e33-a77d-1b2ed89492d2")
+ )
+ (pin "2"
+ (uuid "680f2abe-53ad-4143-894d-0e6fee71420e")
+ )
+ (pin "1"
+ (uuid "eb9de6c1-07a6-406a-8f75-3f85207fa5b1")
+ )
+ (pin "8"
+ (uuid "27e1272d-88f0-4ea9-a1d7-89d3351cd2ee")
+ )
+ (pin "6"
+ (uuid "73358cd4-d75d-445f-a343-f241afbfd5fd")
+ )
+ (pin "7"
+ (uuid "37e3c58b-9d46-4300-90cb-267835684f30")
+ )
+ (pin "13"
+ (uuid "0784a09a-aa22-497e-9d6c-de23ca125b25")
+ )
+ (pin "10"
+ (uuid "992ec03f-eb85-496c-b1e4-c97c969d084b")
+ )
+ (pin "4"
+ (uuid "abac4ea6-e347-4557-87ce-79c1b6f6fc02")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 3)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 218.44 100.33 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "42a20113-c382-4d08-aa67-3bee29e660fc")
+ (property "Reference" "#PWR011"
+ (at 218.44 106.68 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 218.44 104.4631 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 218.44 100.33 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 218.44 100.33 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 218.44 100.33 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "b8403f47-33ae-425a-8a5f-009b0d06b585")
+ )
+ (instances
+ (project "amiga-gary-dtack-fix"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR011")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 233.68 54.61 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "4ce29879-afff-48d0-818b-904b8849c432")
+ (property "Reference" "#PWR09"
+ (at 233.68 60.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 233.68 58.7431 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 233.68 54.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 233.68 54.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 233.68 54.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "116c0cf9-5952-46c8-aeea-2a0568d1b88a")
+ )
+ (instances
+ (project "amiga-gary-dtack-fix"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR09")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 54.61 187.96 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "58fb2f33-514a-49bb-89d2-af8ca4a111d2")
+ (property "Reference" "#PWR01"
+ (at 54.61 194.31 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 54.61 192.0931 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 54.61 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 54.61 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 54.61 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "48771200-3bf6-4e19-aa9d-4fba8b7ca155")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR01")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 44.45 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "5febd1f7-3ac4-4a11-aab1-8227be12f905")
+ (property "Reference" "#PWR012"
+ (at 44.45 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC1"
+ (at 44.45 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 44.45 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 44.45 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 44.45 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "61b0b966-42e7-4a52-b9fe-f3220d84016f")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR012")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 129.54 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "62996fa1-7b46-4a95-a53b-2410803d238d")
+ (property "Reference" "#PWR014"
+ (at 129.54 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC2"
+ (at 129.54 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 129.54 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 129.54 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 129.54 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "57bdddea-2d36-4e54-824d-c69385d3e9e2")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR014")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Amiga_Custom_Chips:Gary")
+ (at 129.54 137.16 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "7d406a26-57e9-4e98-8f55-35e2e4c48032")
+ (property "Reference" "U2"
+ (at 134.2741 86.0255 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "Amiga"
+ (at 134.2741 88.4498 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" "Package_DIP:DIP-48_W15.24mm_Socket_LongPads"
+ (at 129.54 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 129.54 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "A500 timing belt"
+ (at 129.54 137.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "JLCPCB" ""
+ (at 129.54 137.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "LCSC" "C72120"
+ (at 129.54 137.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "33"
+ (uuid "db649976-97a7-4f29-aa37-2d64d57328d4")
+ )
+ (pin "29"
+ (uuid "5992fe6f-08f2-43d0-9dad-ff1feb6c303a")
+ )
+ (pin "39"
+ (uuid "e959910a-d36c-4454-bb9c-e0a6b3f31078")
+ )
+ (pin "19"
+ (uuid "8d26414e-d8a0-4de2-8e9d-53b1db0dd1ce")
+ )
+ (pin "35"
+ (uuid "9e84b6c4-b091-4510-9e91-951bf895ec28")
+ )
+ (pin "18"
+ (uuid "558b4e22-43b7-4aca-91d9-cf9a2b467856")
+ )
+ (pin "17"
+ (uuid "f31da848-3bfd-401b-b533-83519eef8a96")
+ )
+ (pin "23"
+ (uuid "6e38a4da-b05a-49bb-8f59-31abf8251e87")
+ )
+ (pin "7"
+ (uuid "ba5efff1-7e52-4be1-ac3e-a516614b786e")
+ )
+ (pin "40"
+ (uuid "7cb60a38-0754-41c2-80e5-d54ede1b8b34")
+ )
+ (pin "48"
+ (uuid "a7be8d3b-cd96-4201-b147-075b4a8dfa14")
+ )
+ (pin "22"
+ (uuid "7b1b8bf2-6c9e-4bc4-955d-c1c59e0208e0")
+ )
+ (pin "46"
+ (uuid "089159d2-c26d-41d8-a465-b37f7121c145")
+ )
+ (pin "15"
+ (uuid "adccd5c2-42de-44c6-ba90-38b6cb583e1a")
+ )
+ (pin "9"
+ (uuid "15a54fd6-44ca-454e-9149-d37cc88b6314")
+ )
+ (pin "43"
+ (uuid "27a3c824-af6d-4c88-abaa-b108fa225dcb")
+ )
+ (pin "2"
+ (uuid "1c01b04e-8039-4676-a00e-5b3988a2d097")
+ )
+ (pin "5"
+ (uuid "29f0e506-78a2-4523-a0f7-8fa353fc812a")
+ )
+ (pin "42"
+ (uuid "ce09a11d-654d-4c45-9ad1-26c8eaba9b7b")
+ )
+ (pin "3"
+ (uuid "63dcb498-1a5e-44a5-958e-876db5cb7863")
+ )
+ (pin "27"
+ (uuid "691c9fc8-df8a-4143-892f-68df4330b93f")
+ )
+ (pin "20"
+ (uuid "c1620e44-e8d9-432d-bb4a-98abb21d7269")
+ )
+ (pin "45"
+ (uuid "b1490e13-ddb7-4f61-90e8-2e30c289ae69")
+ )
+ (pin "32"
+ (uuid "74ff82c4-a020-4a35-8ae8-cc0b98db1384")
+ )
+ (pin "4"
+ (uuid "75c0406e-0d36-4757-bbf2-ad28d85ac2eb")
+ )
+ (pin "30"
+ (uuid "8c54dcbd-4532-4c5f-a7b8-3b6a1c4f7c3e")
+ )
+ (pin "44"
+ (uuid "beb4613c-6688-40e9-9540-9189341f41b9")
+ )
+ (pin "6"
+ (uuid "5c0d18ab-30ce-4226-97e7-627f1dc97fa3")
+ )
+ (pin "26"
+ (uuid "4e7ddd2b-a8e5-4305-bacd-7a1cff844d52")
+ )
+ (pin "38"
+ (uuid "d2af734a-0425-4705-ae63-1faed698bc6e")
+ )
+ (pin "34"
+ (uuid "00d3b1e0-ec67-47cc-ae7f-e1e7ca7f614d")
+ )
+ (pin "41"
+ (uuid "3b35146d-330f-4487-9d20-40060981d862")
+ )
+ (pin "8"
+ (uuid "7cc4411f-76a1-41d0-b2d2-37232cca8755")
+ )
+ (pin "37"
+ (uuid "a06d26a8-a4d0-491b-9adb-ef8d51b0f03a")
+ )
+ (pin "36"
+ (uuid "c63acf3a-7cb4-4dc9-ae0f-099b8dddc185")
+ )
+ (pin "47"
+ (uuid "087190da-7659-4006-901f-478bda4ffb32")
+ )
+ (pin "31"
+ (uuid "3b11b2a7-8ec6-4d2a-82d4-1288ea821b6a")
+ )
+ (pin "24"
+ (uuid "f21aa840-9918-4b33-9d66-ea71c7b659ef")
+ )
+ (pin "28"
+ (uuid "cc510b07-bb00-4e8a-bcc8-f0035289a9eb")
+ )
+ (pin "25"
+ (uuid "1d6e4f42-f9a4-4978-8333-dc96084dfbcd")
+ )
+ (pin "21"
+ (uuid "5de8c4bb-c6b2-491c-a54f-9f7d28aa3418")
+ )
+ (pin "11"
+ (uuid "7292d266-db2c-4efd-8b52-11024a7be6fc")
+ )
+ (pin "14"
+ (uuid "c616eba4-b3e4-43a4-9356-eb36fcd8babc")
+ )
+ (pin "12"
+ (uuid "a2f55edb-39fc-4f6d-8dc3-131af894a785")
+ )
+ (pin "13"
+ (uuid "cd29325b-4524-43d4-b74a-a23c3aef0e40")
+ )
+ (pin "16"
+ (uuid "1ae530c0-7e41-48ae-80fb-d83789cbeb52")
+ )
+ (pin "10"
+ (uuid "ff9ee30c-55a8-4d87-8450-cf79c45886b1")
+ )
+ (pin "1"
+ (uuid "f1c95649-2626-4294-9f38-cc22b2a430c2")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U2")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 218.44 118.11 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "8dcec253-7383-4856-a9e3-89c835b3ebc1")
+ (property "Reference" "#PWR010"
+ (at 218.44 124.46 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 218.44 122.2431 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 218.44 118.11 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 218.44 118.11 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 218.44 118.11 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "7fe64f7b-b0e2-40e7-af18-84c8ef05d041")
+ )
+ (instances
+ (project "amiga-gary-dtack-fix"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR010")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 62.23 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "95c1467f-a40a-4a85-99e4-ee9e85b09d38")
+ (property "Reference" "#PWR013"
+ (at 62.23 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC3"
+ (at 62.23 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 62.23 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 62.23 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 62.23 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "1c147a3c-7b91-47eb-b7fe-7b9fddccfda7")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR013")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 204.47 64.77 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "99be4969-ff94-4cab-8e6b-0affcb966809")
+ (property "Reference" "#PWR06"
+ (at 204.47 71.12 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 204.47 68.9031 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 204.47 64.77 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 204.47 64.77 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 204.47 64.77 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "704addd0-7cd2-41c3-afe4-aa05afedc1b1")
+ )
+ (instances
+ (project "amiga-gary-dtack-fix"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR06")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 53.34 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "afe041a6-c0bb-4a8f-9778-c8331031456f")
+ (property "Reference" "#PWR03"
+ (at 53.34 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC2"
+ (at 53.34 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 53.34 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 53.34 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 53.34 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "28f0dbbb-54d7-48aa-afb3-dd8f53507863")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR03")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 138.43 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "b8d5bdc2-abb4-408a-b1d1-08abac02147f")
+ (property "Reference" "#PWR015"
+ (at 138.43 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC3"
+ (at 138.43 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 138.43 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 138.43 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 138.43 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "3df9fe60-6be9-4112-9ac7-7915b4a21f12")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR015")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 130.81 187.96 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "c80ae141-5c67-4664-bc65-fe87f6fd8c4d")
+ (property "Reference" "#PWR02"
+ (at 130.81 194.31 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 130.81 192.0931 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 130.81 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 130.81 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 130.81 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "8f622529-8c32-4f9d-bd0d-636c29140f0a")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR02")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 226.06 113.03 0)
+ (unit 2)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "d802e88a-3b27-46ca-9a58-f3cb7f7f93b6")
+ (property "Reference" "U3"
+ (at 226.0517 105.0755 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 226.0517 107.4998 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 226.06 113.03 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 226.06 113.03 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 226.06 113.03 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "JLCPCB" ""
+ (at 226.06 113.03 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "LCSC" "C155451"
+ (at 226.06 113.03 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "335b2ac2-c2a3-4d5c-b036-09baa954ac83")
+ )
+ (pin "11"
+ (uuid "35ad4a89-5d40-4068-a3c8-799ac6377d57")
+ )
+ (pin "12"
+ (uuid "68fda72c-7f8a-49fb-b90e-4e87c2a60ef0")
+ )
+ (pin "5"
+ (uuid "b4f43126-87c4-4b23-b923-46309eb45b2a")
+ )
+ (pin "9"
+ (uuid "5c13a677-90d8-454f-9895-593923073fe0")
+ )
+ (pin "3"
+ (uuid "a98134e7-0ef6-4e33-a77d-1b2ed89492d3")
+ )
+ (pin "2"
+ (uuid "680f2abe-53ad-4143-894d-0e6fee71420f")
+ )
+ (pin "1"
+ (uuid "eb9de6c1-07a6-406a-8f75-3f85207fa5b2")
+ )
+ (pin "8"
+ (uuid "27e1272d-88f0-4ea9-a1d7-89d3351cd2ef")
+ )
+ (pin "6"
+ (uuid "73358cd4-d75d-445f-a343-f241afbfd5fe")
+ )
+ (pin "7"
+ (uuid "37e3c58b-9d46-4300-90cb-267835684f31")
+ )
+ (pin "13"
+ (uuid "0784a09a-aa22-497e-9d6c-de23ca125b26")
+ )
+ (pin "10"
+ (uuid "992ec03f-eb85-496c-b1e4-c97c969d084c")
+ )
+ (pin "4"
+ (uuid "abac4ea6-e347-4557-87ce-79c1b6f6fc03")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 2)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 233.68 49.53 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "d98114d4-9d4c-4854-885c-2e47b62abe03")
+ (property "Reference" "#PWR08"
+ (at 233.68 53.34 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC2"
+ (at 233.68 45.3969 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 233.68 49.53 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 233.68 49.53 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 233.68 49.53 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "a8d4a71e-d3f6-47d8-a064-08c06e6e9903")
+ )
+ (instances
+ (project "amiga-gary-dtack-fix"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR08")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 52.07 40.64 0)
+ (unit 4)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "da4f607a-7caf-4418-81a8-6f2570af9d19")
+ (property "Reference" "U3"
+ (at 52.0617 32.6855 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 52.0617 35.1098 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 52.07 40.64 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 52.07 40.64 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 52.07 40.64 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "JLCPCB" ""
+ (at 52.07 40.64 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "LCSC" "C155451"
+ (at 52.07 40.64 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "335b2ac2-c2a3-4d5c-b036-09baa954ac84")
+ )
+ (pin "11"
+ (uuid "35ad4a89-5d40-4068-a3c8-799ac6377d58")
+ )
+ (pin "12"
+ (uuid "68fda72c-7f8a-49fb-b90e-4e87c2a60ef1")
+ )
+ (pin "5"
+ (uuid "b4f43126-87c4-4b23-b923-46309eb45b2b")
+ )
+ (pin "9"
+ (uuid "5c13a677-90d8-454f-9895-593923073fe1")
+ )
+ (pin "3"
+ (uuid "a98134e7-0ef6-4e33-a77d-1b2ed89492d4")
+ )
+ (pin "2"
+ (uuid "680f2abe-53ad-4143-894d-0e6fee714210")
+ )
+ (pin "1"
+ (uuid "eb9de6c1-07a6-406a-8f75-3f85207fa5b3")
+ )
+ (pin "8"
+ (uuid "27e1272d-88f0-4ea9-a1d7-89d3351cd2f0")
+ )
+ (pin "6"
+ (uuid "73358cd4-d75d-445f-a343-f241afbfd5ff")
+ )
+ (pin "7"
+ (uuid "37e3c58b-9d46-4300-90cb-267835684f32")
+ )
+ (pin "13"
+ (uuid "0784a09a-aa22-497e-9d6c-de23ca125b27")
+ )
+ (pin "10"
+ (uuid "992ec03f-eb85-496c-b1e4-c97c969d084d")
+ )
+ (pin "4"
+ (uuid "abac4ea6-e347-4557-87ce-79c1b6f6fc04")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 4)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 120.65 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "ec396d8a-0317-44ac-99d3-6806ee0a6bba")
+ (property "Reference" "#PWR04"
+ (at 120.65 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC1"
+ (at 120.65 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 120.65 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 120.65 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 120.65 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "2d1ce98b-0e26-4a6a-a68d-43c25300bbed")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR04")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 22.86 43.18 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "f45844bd-e800-4a9a-ba08-605aeba232ab")
+ (property "Reference" "#PWR07"
+ (at 22.86 46.99 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC2"
+ (at 22.86 39.0469 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 22.86 43.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 22.86 43.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 22.86 43.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "25cb78e5-099f-4ad7-ac03-8dfbb00574a9")
+ )
+ (instances
+ (project "amiga-gary-dtack-fix"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR07")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (sheet_instances
+ (path "/"
+ (page "1")
+ )
+ )
+)