summaryrefslogtreecommitdiff
path: root/amiga-gary-decoder-test.kicad_sch
diff options
context:
space:
mode:
authornorly <ny-git@enpas.org>2024-07-11 05:33:57 +0900
committernorly <ny-git@enpas.org>2024-07-11 05:42:57 +0900
commit8a4ad7bcbaf44312f669e57bb64d05fefff353fa (patch)
tree8af1eba7f19f0d553f8f5f1358f3e7e43900d1d1 /amiga-gary-decoder-test.kicad_sch
parentad6c38966b7b81a61b2f3dd832da199eff4c592f (diff)
v01: Initial version
Diffstat (limited to 'amiga-gary-decoder-test.kicad_sch')
-rw-r--r--amiga-gary-decoder-test.kicad_sch9050
1 files changed, 9050 insertions, 0 deletions
diff --git a/amiga-gary-decoder-test.kicad_sch b/amiga-gary-decoder-test.kicad_sch
new file mode 100644
index 0000000..496aba3
--- /dev/null
+++ b/amiga-gary-decoder-test.kicad_sch
@@ -0,0 +1,9050 @@
+(kicad_sch
+ (version 20231120)
+ (generator "eeschema")
+ (generator_version "8.0")
+ (uuid "96b7800d-39a7-49ce-a78c-3e3492b85c8e")
+ (paper "A4")
+ (lib_symbols
+ (symbol "74xx:74HCT00"
+ (pin_names
+ (offset 1.016)
+ )
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (at 0 1.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74HCT00"
+ (at 0 -1.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_locked" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "ki_keywords" "HCTMOS nand 2-input"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_fp_filters" "DIP*W7.62mm* SO14*"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "74HCT00_1_1"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "3"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_1_2"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "3"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_2_1"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "4"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "5"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "6"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_2_2"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "4"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "5"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "6"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_3_1"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "10"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "8"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "9"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_3_2"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "10"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "8"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "9"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_4_1"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "11"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "12"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "13"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_4_2"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "11"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "12"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "13"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_5_0"
+ (pin power_in line
+ (at 0 12.7 270)
+ (length 5.08)
+ (name "VCC"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "14"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 0 -12.7 90)
+ (length 5.08)
+ (name "GND"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "7"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT00_5_1"
+ (rectangle
+ (start -5.08 7.62)
+ (end 5.08 -7.62)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ )
+ )
+ (symbol "74xx:74HCT02"
+ (pin_names
+ (offset 1.016)
+ )
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (at 0 1.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74HCT02"
+ (at 0 -1.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct02"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NOR gate"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_locked" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "ki_keywords" "HCTMOS Nor2"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_fp_filters" "SO14* DIP*W7.62mm*"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "74HCT02_1_1"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "3"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT02_1_2"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "3"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT02_2_1"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "4"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "5"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "6"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT02_2_2"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "4"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "5"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "6"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT02_3_1"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "10"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "8"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "9"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT02_3_2"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "10"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "8"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "9"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT02_4_1"
+ (arc
+ (start -3.81 -3.81)
+ (mid -2.589 0)
+ (end -3.81 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -0.6096 -3.81)
+ (mid 2.1842 -2.5851)
+ (end 3.81 0)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 -3.81) (xy -0.635 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -3.81 3.81) (xy -0.635 3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy -0.635 3.81) (xy -3.81 3.81) (xy -3.81 3.81) (xy -3.556 3.4036) (xy -3.0226 2.2606) (xy -2.6924 1.0414)
+ (xy -2.6162 -0.254) (xy -2.7686 -1.4986) (xy -3.175 -2.7178) (xy -3.81 -3.81) (xy -3.81 -3.81)
+ (xy -0.635 -3.81)
+ )
+ (stroke
+ (width -25.4)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (arc
+ (start 3.81 0)
+ (mid 2.1915 2.5936)
+ (end -0.6096 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input line
+ (at -7.62 2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "11"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -7.62 -2.54 0)
+ (length 4.318)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "12"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "13"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT02_4_2"
+ (arc
+ (start 0 -3.81)
+ (mid 3.7934 0)
+ (end 0 3.81)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 3.81) (xy -3.81 3.81) (xy -3.81 -3.81) (xy 0 -3.81)
+ )
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin input inverted
+ (at -7.62 2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "11"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -7.62 -2.54 0)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "12"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at 7.62 0 180)
+ (length 3.81)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "13"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT02_5_0"
+ (pin power_in line
+ (at 0 12.7 270)
+ (length 5.08)
+ (name "VCC"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "14"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 0 -12.7 90)
+ (length 5.08)
+ (name "GND"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "7"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ (symbol "74HCT02_5_1"
+ (rectangle
+ (start -5.08 7.62)
+ (end 5.08 -7.62)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ )
+ )
+ (symbol "Amiga_Custom_Chips:Gary"
+ (pin_names
+ (offset 0.762)
+ )
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "U"
+ (at 0 2.54 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "Gary"
+ (at 0 -3.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_DIP:DIP-48_W15.24mm_Socket_LongPads"
+ (at 0 -10.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 0 -10.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "A500 timing belt"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "Amiga 500 2000 Custom Chip"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "Gary_0_1"
+ (rectangle
+ (start -17.78 46.99)
+ (end 17.78 -46.99)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ )
+ (symbol "Gary_1_1"
+ (text "Control"
+ (at 0 34.036 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (text "Floppy"
+ (at 0.508 -34.798 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (pin power_in line
+ (at -1.27 -50.8 90)
+ (length 3.81)
+ (name "GND"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -25.4 6.35 0)
+ (length 7.62)
+ (name "_LDS"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "10"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -25.4 3.81 0)
+ (length 7.62)
+ (name "_UDS"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "11"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 1.27 0)
+ (length 7.62)
+ (name "R/W"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "12"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -25.4 -1.27 0)
+ (length 7.62)
+ (name "_AS"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "13"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at -25.4 -6.35 0)
+ (length 7.62)
+ (name "_BGACK"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "14"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -1.27 180)
+ (length 7.62)
+ (name "_BLIT"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "15"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -35.56 180)
+ (length 7.62)
+ (name "_SEL"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "16"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 0 50.8 270)
+ (length 3.81)
+ (name "Vcc"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "17"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 3.81 180)
+ (length 7.62)
+ (name "_REGEN"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "18"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 1.27 180)
+ (length 7.62)
+ (name "_BLISS"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "19"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 8.89 0)
+ (length 7.62)
+ (name "_VPA"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 6.35 180)
+ (length 7.62)
+ (name "_RAMEN"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "20"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 29.21 180)
+ (length 7.62)
+ (name "_ROMEN"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "21"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 21.59 180)
+ (length 7.62)
+ (name "_CLKRD"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "22"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at 25.4 17.78 180)
+ (length 7.62)
+ (name "_CLKWR"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "23"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 1.27 -50.8 90)
+ (length 3.81)
+ (name "GND"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "24"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 39.37 0)
+ (length 7.62)
+ (name "_LATCH"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "25"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted_clock
+ (at 25.4 -7.62 180)
+ (length 7.62)
+ (name "_CDAC"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "26"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input clock
+ (at 25.4 -12.7 180)
+ (length 7.62)
+ (name "CCKQ"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "27"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input clock
+ (at 25.4 -10.16 180)
+ (length 7.62)
+ (name "CCK"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "28"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 44.45 180)
+ (length 7.62)
+ (name "_OVR"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "29"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 41.91 0)
+ (length 7.62)
+ (name "_OEL"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "3"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at 25.4 40.64 180)
+ (length 7.62)
+ (name "OVL"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "30"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at 25.4 36.83 180)
+ (length 7.62)
+ (name "XRDY"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "31"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 25.4 180)
+ (length 7.62)
+ (name "_EXRAM"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "32"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 17.78 0)
+ (length 7.62)
+ (name "A17"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "33"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 20.32 0)
+ (length 7.62)
+ (name "A18"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "34"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 22.86 0)
+ (length 7.62)
+ (name "A19"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "35"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 25.4 0)
+ (length 7.62)
+ (name "A20"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "36"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 27.94 0)
+ (length 7.62)
+ (name "A21"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "37"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 30.48 0)
+ (length 7.62)
+ (name "A22"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "38"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at -25.4 33.02 0)
+ (length 7.62)
+ (name "A23"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "39"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 44.45 0)
+ (length 7.62)
+ (name "_OEB"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "4"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 3.81 -50.8 90)
+ (length 3.81)
+ (name "GND"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "40"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 -15.24 0)
+ (length 7.62)
+ (name "_RST"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "41"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 -12.7 0)
+ (length 7.62)
+ (name "_HLT"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "42"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output inverted
+ (at -25.4 -3.81 0)
+ (length 7.62)
+ (name "_DTACK"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "43"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at -25.4 -44.45 0)
+ (length 7.62)
+ (name "DKWEB"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "44"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at -25.4 -41.91 0)
+ (length 7.62)
+ (name "DKWDB"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "45"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at -25.4 -35.56 0)
+ (length 7.62)
+ (name "MTRON"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "46"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin output line
+ (at -25.4 -33.02 0)
+ (length 7.62)
+ (name "MTRX"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "47"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at 2.54 50.8 270)
+ (length 3.81)
+ (name "Vcc"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "48"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -26.67 180)
+ (length 7.62)
+ (name "_KBRESET"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "5"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin power_in line
+ (at -2.54 50.8 270)
+ (length 3.81)
+ (name "Vcc"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "6"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -33.02 180)
+ (length 7.62)
+ (name "_MTR"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "7"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input line
+ (at 25.4 -44.45 180)
+ (length 7.62)
+ (name "DKWE"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "8"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin input inverted
+ (at 25.4 -41.91 180)
+ (length 7.62)
+ (name "_DKWD"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "9"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ (symbol "Connector_Generic:Conn_01x01"
+ (pin_names
+ (offset 1.016) hide)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "J"
+ (at 0 2.54 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "Conn_01x01"
+ (at 0 -2.54 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "connector"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_fp_filters" "Connector*:*_1x??_*"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "Conn_01x01_1_1"
+ (rectangle
+ (start -1.27 0.127)
+ (end 0 -0.127)
+ (stroke
+ (width 0.1524)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (rectangle
+ (start -1.27 1.27)
+ (end 1.27 -1.27)
+ (stroke
+ (width 0.254)
+ (type default)
+ )
+ (fill
+ (type background)
+ )
+ )
+ (pin passive line
+ (at -5.08 0 0)
+ (length 3.81)
+ (name "Pin_1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ (symbol "Device:C_Small"
+ (pin_numbers hide)
+ (pin_names
+ (offset 0.254) hide)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "C"
+ (at 0.254 1.778 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "C_Small"
+ (at 0.254 -2.032 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Unpolarized capacitor, small symbol"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "capacitor cap"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_fp_filters" "C_*"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "C_Small_0_1"
+ (polyline
+ (pts
+ (xy -1.524 -0.508) (xy 1.524 -0.508)
+ )
+ (stroke
+ (width 0.3302)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy -1.524 0.508) (xy 1.524 0.508)
+ )
+ (stroke
+ (width 0.3048)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ )
+ (symbol "C_Small_1_1"
+ (pin passive line
+ (at 0 2.54 270)
+ (length 2.032)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin passive line
+ (at 0 -2.54 90)
+ (length 2.032)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ (symbol "Jumper:SolderJumper_3_Open"
+ (pin_names
+ (offset 0) hide)
+ (exclude_from_sim yes)
+ (in_bom no)
+ (on_board yes)
+ (property "Reference" "JP"
+ (at -2.54 -2.54 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "SolderJumper_3_Open"
+ (at 0 2.794 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Solder Jumper, 3-pole, open"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "Solder Jumper SPDT"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_fp_filters" "SolderJumper*Open*"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "SolderJumper_3_Open_0_1"
+ (arc
+ (start -1.016 1.016)
+ (mid -2.0276 0)
+ (end -1.016 -1.016)
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start -1.016 1.016)
+ (mid -2.0276 0)
+ (end -1.016 -1.016)
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type outline)
+ )
+ )
+ (rectangle
+ (start -0.508 1.016)
+ (end 0.508 -1.016)
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type outline)
+ )
+ )
+ (polyline
+ (pts
+ (xy -2.54 0) (xy -2.032 0)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy -1.016 1.016) (xy -1.016 -1.016)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 -1.27) (xy 0 -1.016)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy 1.016 1.016) (xy 1.016 -1.016)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy 2.54 0) (xy 2.032 0)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start 1.016 -1.016)
+ (mid 2.0276 0)
+ (end 1.016 1.016)
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (arc
+ (start 1.016 -1.016)
+ (mid 2.0276 0)
+ (end 1.016 1.016)
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type outline)
+ )
+ )
+ )
+ (symbol "SolderJumper_3_Open_1_1"
+ (pin passive line
+ (at -5.08 0 0)
+ (length 2.54)
+ (name "A"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin passive line
+ (at 0 -3.81 90)
+ (length 2.54)
+ (name "C"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "2"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ (pin passive line
+ (at 5.08 0 180)
+ (length 2.54)
+ (name "B"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "3"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ (symbol "power:GND"
+ (power)
+ (pin_numbers hide)
+ (pin_names
+ (offset 0) hide)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "#PWR"
+ (at 0 -6.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 0 -3.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "global power"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "GND_0_1"
+ (polyline
+ (pts
+ (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ )
+ (symbol "GND_1_1"
+ (pin power_in line
+ (at 0 0 270)
+ (length 0)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ (symbol "power:VCC"
+ (power)
+ (pin_numbers hide)
+ (pin_names
+ (offset 0) hide)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (property "Reference" "#PWR"
+ (at 0 -3.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC"
+ (at 0 3.556 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "ki_keywords" "global power"
+ (at 0 0 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (symbol "VCC_0_1"
+ (polyline
+ (pts
+ (xy -0.762 1.27) (xy 0 2.54)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 0) (xy 0 2.54)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ (polyline
+ (pts
+ (xy 0 2.54) (xy 0.762 1.27)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (fill
+ (type none)
+ )
+ )
+ )
+ (symbol "VCC_1_1"
+ (pin power_in line
+ (at 0 0 90)
+ (length 0)
+ (name "~"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (number "1"
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ )
+ )
+ )
+ )
+ (junction
+ (at 251.46 78.74)
+ (diameter 0)
+ (color 0 0 0 0)
+ (uuid "ad8be40f-962c-4e06-ab25-946caf0e33ea")
+ )
+ (junction
+ (at 54.61 187.96)
+ (diameter 0)
+ (color 0 0 0 0)
+ (uuid "bd3fa6d6-bb5d-4952-8a82-ff05bbb10242")
+ )
+ (junction
+ (at 130.81 187.96)
+ (diameter 0)
+ (color 0 0 0 0)
+ (uuid "e429571f-7d90-4abc-a4f4-4655774f2e84")
+ )
+ (no_connect
+ (at 266.7 81.28)
+ (uuid "99652b7f-1c28-41ce-9c48-74e39bdf1726")
+ )
+ (wire
+ (pts
+ (xy 44.45 86.36) (xy 50.8 86.36)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "04be939f-e9a7-49d5-a1a7-ebed983cf2f5")
+ )
+ (wire
+ (pts
+ (xy 184.15 50.8) (xy 184.15 34.29)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "11f13f74-1e99-4609-8280-21043ecf6f28")
+ )
+ (wire
+ (pts
+ (xy 130.81 187.96) (xy 133.35 187.96)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "16a7dc45-e14a-4ef6-b5bf-cff0c2d6a1ea")
+ )
+ (wire
+ (pts
+ (xy 199.39 31.75) (xy 207.01 31.75)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "24956dcc-35c8-4a48-b376-f33438fad991")
+ )
+ (wire
+ (pts
+ (xy 251.46 78.74) (xy 251.46 83.82)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "26337215-2166-45c0-9f4a-76abd4671340")
+ )
+ (wire
+ (pts
+ (xy 232.41 39.37) (xy 232.41 53.34)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "36a2644c-17ec-428b-ac08-2318d7b94cf7")
+ )
+ (wire
+ (pts
+ (xy 120.65 86.36) (xy 127 86.36)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "47315ca0-28bd-4e23-874b-267a0b561fc7")
+ )
+ (wire
+ (pts
+ (xy 132.08 86.36) (xy 138.43 86.36)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "7742a043-4326-49d8-9ee6-e207fc5fbe8f")
+ )
+ (wire
+ (pts
+ (xy 257.81 140.97) (xy 262.89 140.97)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "7b4bc37c-a6e0-4d1d-81a5-b227371a9dad")
+ )
+ (wire
+ (pts
+ (xy 158.75 48.26) (xy 146.05 48.26)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "7cfd8a36-e557-4cbc-9ccf-99bd798f62db")
+ )
+ (wire
+ (pts
+ (xy 54.61 187.96) (xy 57.15 187.96)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "7d73edb9-0b57-45f4-8b8c-1df6fe014f2d")
+ )
+ (wire
+ (pts
+ (xy 222.25 34.29) (xy 232.41 34.29)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "841c5194-e4ba-4af3-92dd-9c22d3cc1aa8")
+ )
+ (wire
+ (pts
+ (xy 176.53 29.21) (xy 184.15 29.21)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "85eeb2e4-8dde-4c1b-8800-c8b41e96b5ab")
+ )
+ (wire
+ (pts
+ (xy 177.8 50.8) (xy 184.15 50.8)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "9b58d2e5-bbce-49ca-8fe4-cb578d1277bb")
+ )
+ (wire
+ (pts
+ (xy 251.46 73.66) (xy 251.46 78.74)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "a0c323a5-f3bd-4d13-92f4-df4f5f7ef4e3")
+ )
+ (wire
+ (pts
+ (xy 57.15 45.72) (xy 53.34 45.72)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "a297a27f-caaf-4505-bf63-8bf19760d9b4")
+ )
+ (wire
+ (pts
+ (xy 234.95 140.97) (xy 240.03 140.97)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "a503dbd7-16e2-496b-8d2d-989db21d3022")
+ )
+ (wire
+ (pts
+ (xy 251.46 36.83) (xy 247.65 36.83)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "a79c1755-add1-409a-92d2-4b2ba7036a38")
+ )
+ (wire
+ (pts
+ (xy 209.55 50.8) (xy 217.17 50.8)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "aabda4f5-a2e6-4b0b-a5ab-2054eabf548f")
+ )
+ (wire
+ (pts
+ (xy 128.27 187.96) (xy 130.81 187.96)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "b0b6700a-2b2c-4a04-af4c-5f69b3c7c505")
+ )
+ (wire
+ (pts
+ (xy 52.07 187.96) (xy 54.61 187.96)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "bafa1297-ca40-4739-a712-6a41ee9b10aa")
+ )
+ (wire
+ (pts
+ (xy 224.79 140.97) (xy 229.87 140.97)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "c047c41d-456b-4ec3-b450-acbd9eca873e")
+ )
+ (wire
+ (pts
+ (xy 173.99 55.88) (xy 158.75 55.88)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "c7fa505c-c94b-40de-824a-7d0e8bae094f")
+ )
+ (wire
+ (pts
+ (xy 55.88 86.36) (xy 62.23 86.36)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "c86536ec-ba47-4725-a35e-8389a39345a7")
+ )
+ (wire
+ (pts
+ (xy 267.97 140.97) (xy 273.05 140.97)
+ )
+ (stroke
+ (width 0)
+ (type default)
+ )
+ (uuid "fca6f07a-c290-4c3f-8574-775f89452cbd")
+ )
+ (global_label "A19"
+ (shape bidirectional)
+ (at 104.14 114.3 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "002ac6e9-a51b-4a32-8755-056f516121bf")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 114.3 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A21"
+ (shape bidirectional)
+ (at 104.14 109.22 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "00917b84-b0a7-47fe-a2f1-28c47278035b")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 109.22 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BGACK"
+ (shape bidirectional)
+ (at 104.14 143.51 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "04f565af-c60a-419d-a03f-145508400bf8")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 92.9073 143.51 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A23"
+ (shape bidirectional)
+ (at 130.81 50.8 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "07290097-5b1b-4c35-a265-7492dbf55cf0")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 123.2059 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "CCK"
+ (shape bidirectional)
+ (at 154.94 147.32 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "0877569e-03b4-426e-a038-cb7fc4f6905e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 162.8465 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_REGEN"
+ (shape bidirectional)
+ (at 78.74 133.35 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "0d618d18-2b6c-4445-a619-4c337c21a1c8")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 89.9726 133.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_SEL"
+ (shape bidirectional)
+ (at 154.94 172.72 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "0de5fe99-e933-473f-a72b-c8642aa0ac8e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 163.3907 172.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A17"
+ (shape bidirectional)
+ (at 27.94 119.38 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "111869a2-af4e-435d-ac9b-3ba3f0f1ad9a")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 119.38 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_SEL"
+ (shape bidirectional)
+ (at 78.74 172.72 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "13bcb6f6-0b04-4c42-8662-ea7ddae7afcf")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.1907 172.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A20"
+ (shape bidirectional)
+ (at 158.75 55.88 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "141b7454-d3a6-4edf-93ff-43c396147e6b")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 151.1459 55.88 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_DKWD"
+ (shape bidirectional)
+ (at 154.94 179.07 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "1a18dd5a-a3b2-405a-b4af-efd540c7079f")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 165.2655 179.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_AS"
+ (shape bidirectional)
+ (at 27.94 138.43 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "1ba2a4e9-43c2-4345-b1fc-05c60e93eb14")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.5778 138.43 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A18"
+ (shape bidirectional)
+ (at 27.94 116.84 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "1bfb1953-99dd-4315-ade8-908773028dac")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 116.84 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLIT"
+ (shape bidirectional)
+ (at 78.74 138.43 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "1d70eb18-0a62-4d3e-a892-97129e6677f7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.6746 138.43 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CLKWR"
+ (shape bidirectional)
+ (at 78.74 119.38 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "2025736f-ebd8-45f9-b6de-603635e24250")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 90.0936 119.38 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_LATCH"
+ (shape bidirectional)
+ (at 27.94 97.79 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2378f97a-f9a1-4d72-aa1e-1e73e79b583f")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.1911 97.79 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A22"
+ (shape bidirectional)
+ (at 104.14 106.68 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "24bb18b8-dc19-4732-9ef5-a29989ad0455")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 106.68 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A23"
+ (shape bidirectional)
+ (at 104.14 104.14 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2a063413-5ef6-4374-8126-1c063af7be38")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 104.14 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWE"
+ (shape bidirectional)
+ (at 154.94 181.61 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "2b9fc588-6c37-4039-9469-409cf1af8670")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 164.1769 181.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWEB"
+ (shape bidirectional)
+ (at 104.14 181.61 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2c10994c-5f63-4e0f-b412-41524b6621f7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.6331 181.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "MTRX"
+ (shape bidirectional)
+ (at 104.14 170.18 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2ca88c06-0007-41bb-a8dd-5e320c54a02d")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.145 170.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A17"
+ (shape bidirectional)
+ (at 104.14 119.38 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2de9e730-ba83-4e00-9d1c-1c77dc294d22")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 119.38 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWDB"
+ (shape bidirectional)
+ (at 104.14 179.07 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "2e94be71-8afb-4d20-9f3b-fd5bd0aee7ee")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.5121 179.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_MTR"
+ (shape bidirectional)
+ (at 78.74 170.18 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "2f061c09-6b07-420d-b76a-9d235c996e69")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.4931 170.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "MTRON"
+ (shape bidirectional)
+ (at 104.14 172.72 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "33171333-e010-4df0-8e61-d4b3c1a2ed3a")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.6935 172.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_EXRAM_GARY"
+ (shape bidirectional)
+ (at 57.15 50.8 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "3abf5011-d8d2-4ce9-8942-d64cfb690690")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 74.0674 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A23"
+ (shape bidirectional)
+ (at 27.94 104.14 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "3ba4d21d-e9a3-4b43-aab3-d35e45215bff")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 104.14 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "OVL"
+ (shape bidirectional)
+ (at 78.74 96.52 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "3c1d8475-8f2f-48b7-9555-3d6e607b82b7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 86.2837 96.52 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_EXRAM_AMIGA"
+ (shape bidirectional)
+ (at 154.94 111.76 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "3ef0c0ef-6475-4c08-b0f2-d295304c07b6")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 172.6436 111.76 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEB"
+ (shape bidirectional)
+ (at 104.14 92.71 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "45490ca9-3794-4d02-80c7-e858212c687e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.3264 92.71 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEL"
+ (shape bidirectional)
+ (at 161.29 26.67 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "479dec7b-1acf-44e4-b1ce-a1896b494835")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 152.7183 26.67 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_REGEN"
+ (shape bidirectional)
+ (at 154.94 133.35 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "483fc657-19db-45ee-9000-a922faa90b19")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.1726 133.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_RAMEN"
+ (shape bidirectional)
+ (at 78.74 130.81 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "48d64f1d-cf56-495d-8e54-59aa10acbf39")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 90.0936 130.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWDB"
+ (shape bidirectional)
+ (at 27.94 179.07 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "4dcd717a-0641-4d45-ae58-113fa2679904")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.3121 179.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "XRDY"
+ (shape bidirectional)
+ (at 154.94 100.33 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "4f39143b-f124-4dd9-8e05-545287aa3f45")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 163.8746 100.33 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A20"
+ (shape bidirectional)
+ (at 158.75 43.18 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "563caf49-c760-4f11-928b-882af74f3414")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 151.1459 43.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_KBRESET"
+ (shape bidirectional)
+ (at 154.94 163.83 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "5bce98be-c80f-4754-ab47-64c355aaf329")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 168.2892 163.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_KBRESET"
+ (shape bidirectional)
+ (at 78.74 163.83 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "5d9999ea-2c1f-4579-8db2-6f8ee2002a6b")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 92.0892 163.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "MTRX"
+ (shape bidirectional)
+ (at 27.94 170.18 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "6bd87ae8-a0a0-493f-bf39-a85142e1a498")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 18.945 170.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_DTACK"
+ (shape bidirectional)
+ (at 27.94 140.97 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "6d3a737a-767c-46a1-9138-0695a36a5cd9")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.0097 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "MTRON"
+ (shape bidirectional)
+ (at 27.94 172.72 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "724192b0-d734-46ab-8cd0-46410044d461")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.4935 172.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_RST"
+ (shape bidirectional)
+ (at 104.14 152.4 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "728312a6-1926-45a2-b1d1-1376f8be7658")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.6288 152.4 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLIT"
+ (shape bidirectional)
+ (at 154.94 138.43 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "7787cccb-56b6-4405-b672-afc3b84f6dbd")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 163.8746 138.43 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_DKWD"
+ (shape bidirectional)
+ (at 78.74 179.07 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "78be350b-da0f-4f39-a149-7395a1c15620")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 89.0655 179.07 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_LDS"
+ (shape bidirectional)
+ (at 27.94 130.81 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "7f26d54d-a50f-4d2a-98d5-07665a485163")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.3683 130.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_EXRAM_GARY"
+ (shape bidirectional)
+ (at 78.74 111.76 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "809b19d7-21e9-4b4e-8e06-15283317c755")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.6574 111.76 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_HLT"
+ (shape bidirectional)
+ (at 27.94 149.86 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "81ceea28-57f6-4b95-be7a-01f2d6ac75b6")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.5497 149.86 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEB"
+ (shape bidirectional)
+ (at 27.94 92.71 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "8416f033-3714-494c-9663-4d4190ed6424")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.1264 92.71 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_HLT"
+ (shape bidirectional)
+ (at 104.14 149.86 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "88825edb-8caa-4b6b-a4a6-79eb8655de03")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.7497 149.86 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_RAMEN"
+ (shape bidirectional)
+ (at 207.01 36.83 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "8e60de55-d408-4d3f-8b99-838ae13d3129")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 195.6564 36.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLISS"
+ (shape bidirectional)
+ (at 154.94 135.89 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "8e743f9e-5d66-4c16-83e5-9d4a04ee6e2e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 165.326 135.89 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_ROMEN"
+ (shape bidirectional)
+ (at 154.94 107.95 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "95c6469a-2490-45bc-883f-56cc7b92047b")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.5355 107.95 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "R{slash}W"
+ (shape bidirectional)
+ (at 104.14 135.89 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "9cae0be4-7eb6-4a96-8305-ab6065069c33")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.9916 135.89 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "XRDY"
+ (shape bidirectional)
+ (at 78.74 100.33 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "9e614fdf-628a-4c0a-91ae-509214535133")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.6746 100.33 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A19"
+ (shape bidirectional)
+ (at 27.94 114.3 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "a32df30d-1a99-4e68-a05a-587a7d4be1c6")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 114.3 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A20"
+ (shape bidirectional)
+ (at 104.14 111.76 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "a668482b-a46e-4e1f-9572-46e1d1c168ff")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 111.76 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_VPA"
+ (shape bidirectional)
+ (at 104.14 128.27 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "acb0b44a-5d2f-4705-85c7-8c3d168c8cd2")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.6287 128.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_ROMEN"
+ (shape bidirectional)
+ (at 78.74 107.95 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "b000674e-cd2d-4307-86be-487559517e3d")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 90.3355 107.95 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_DTACK"
+ (shape bidirectional)
+ (at 104.14 140.97 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b329e7a4-3e07-4b55-a9dc-e54e242b0121")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.2097 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_VPA"
+ (shape bidirectional)
+ (at 27.94 128.27 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b3634d0a-1213-42c7-8234-2d4c9ff6a930")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.4287 128.27 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEL"
+ (shape bidirectional)
+ (at 27.94 95.25 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b50eb8c1-ccb5-4361-b09d-1d6a7dad3072")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.3683 95.25 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CLKRD"
+ (shape bidirectional)
+ (at 154.94 115.57 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "b5f70139-508b-4fe3-ad84-fea9ff3cc330")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.1122 115.57 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A22"
+ (shape bidirectional)
+ (at 27.94 106.68 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b8dcf196-6078-44af-a108-1e80609ccd4b")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 106.68 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "CCKQ"
+ (shape bidirectional)
+ (at 154.94 149.86 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "b9667119-124f-4b33-937d-fea58e9b48f7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 164.177 149.86 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_AS"
+ (shape bidirectional)
+ (at 104.14 138.43 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "b986d4cd-7c48-4777-9e6f-9aefe2279be6")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.7778 138.43 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWEB"
+ (shape bidirectional)
+ (at 27.94 181.61 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "bd482fda-6f8f-4207-8210-2c78c5fdc916")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 17.4331 181.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_UDS"
+ (shape bidirectional)
+ (at 27.94 133.35 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "c0711ea3-3b79-4c94-8387-d878a0fb145e")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.0659 133.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CLKRD"
+ (shape bidirectional)
+ (at 78.74 115.57 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "c3632a71-94ee-4746-8762-731535af6562")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 89.9122 115.57 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "OVL"
+ (shape bidirectional)
+ (at 154.94 96.52 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "c69c1f3d-e5fa-40e1-a57e-24ea44d916bb")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 162.4837 96.52 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CDAC"
+ (shape bidirectional)
+ (at 154.94 144.78 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "c74e477c-cc63-4dca-8e9f-ba0182d1a362")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 164.9027 144.78 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "CCK"
+ (shape bidirectional)
+ (at 78.74 147.32 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "c9e10e87-cdd9-49f3-b959-fa42fcb8f7a0")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 86.6465 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_EXRAM_AMIGA"
+ (shape bidirectional)
+ (at 57.15 45.72 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "d0c0ab6d-374e-436f-bb1d-56a48bad4a71")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 74.8536 45.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_LATCH"
+ (shape bidirectional)
+ (at 104.14 97.79 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "d396d582-67dd-4d96-9318-6d035b5fe891")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 93.3911 97.79 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A23"
+ (shape bidirectional)
+ (at 130.81 45.72 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "d4a1b360-0106-499d-9b74-1662ef7c2fe7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 123.2059 45.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEB"
+ (shape bidirectional)
+ (at 161.29 31.75 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "d719296b-cd84-4eef-aa70-cf3f153209ca")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 152.4764 31.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_UDS"
+ (shape bidirectional)
+ (at 104.14 133.35 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "da941a2d-388e-4b14-b66f-960c09db5b6f")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.2659 133.35 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_MTR"
+ (shape bidirectional)
+ (at 154.94 170.18 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "dad2cd1d-b17e-456f-8907-b39d5c8a545c")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 163.6931 170.18 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OVR"
+ (shape bidirectional)
+ (at 154.94 92.71 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "db4eed61-ade8-4fcc-abb9-fb643c0af0ee")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 163.6932 92.71 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "CCKQ"
+ (shape bidirectional)
+ (at 78.74 149.86 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "dceb400d-cbf1-4e88-a9c3-d9a207e1f7ad")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.977 149.86 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "DKWE"
+ (shape bidirectional)
+ (at 78.74 181.61 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "e10b6bdf-5d89-4ab9-ae7b-5b2f5603f576")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.9769 181.61 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A21"
+ (shape bidirectional)
+ (at 27.94 109.22 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "e17b9a80-f655-413e-949b-b7795eb98cd7")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 109.22 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OVR"
+ (shape bidirectional)
+ (at 78.74 92.71 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "e1b2929c-85e0-4d6a-9fd8-201f6ecff6c8")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 87.4932 92.71 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BLISS"
+ (shape bidirectional)
+ (at 78.74 135.89 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "e21f26bf-9b44-4e5f-82a0-3451401048f6")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 89.126 135.89 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A18"
+ (shape bidirectional)
+ (at 104.14 116.84 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "e4011a34-9d33-4e9a-a1c2-8203d0b8e376")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 96.5359 116.84 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_BGACK"
+ (shape bidirectional)
+ (at 27.94 143.51 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "e435d2c5-84a3-45e7-9c4c-c277f0ed9534")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 16.7073 143.51 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_RST"
+ (shape bidirectional)
+ (at 27.94 152.4 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "ed5ff48c-47f2-48ca-b635-9aa0225160b3")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.4288 152.4 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "A20"
+ (shape bidirectional)
+ (at 27.94 111.76 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "edcf7c6b-d1c0-440f-975b-820dfc205783")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 20.3359 111.76 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_RAMEN"
+ (shape bidirectional)
+ (at 154.94 130.81 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "f01cecc7-f099-47f6-aac3-36df9ced9894")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.2936 130.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_OEL"
+ (shape bidirectional)
+ (at 104.14 95.25 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "f4dbc369-c38f-4996-b1c7-ae2a0ce5a694")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.5683 95.25 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "R{slash}W"
+ (shape bidirectional)
+ (at 27.94 135.89 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "f75ee55a-3e66-4ac6-a0ad-5419534d7dcc")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 19.7916 135.89 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CLKWR"
+ (shape bidirectional)
+ (at 154.94 119.38 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "f800b7e5-e6c2-4089-a32f-e4261c409d41")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 166.2936 119.38 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_CDAC"
+ (shape bidirectional)
+ (at 78.74 144.78 0)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ (uuid "fa4d8578-30fc-45a4-b79d-72ca5a2f8fbb")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 88.7027 144.78 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ (hide yes)
+ )
+ )
+ )
+ (global_label "_LDS"
+ (shape bidirectional)
+ (at 104.14 130.81 180)
+ (fields_autoplaced yes)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ )
+ (uuid "fe218710-d653-48de-9dc6-29af44c41daa")
+ (property "Intersheetrefs" "${INTERSHEET_REFS}"
+ (at 95.5683 130.81 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify right)
+ (hide yes)
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 251.46 73.66 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "0d6a92e3-5429-482f-9741-dfa760a00a29")
+ (property "Reference" "#PWR019"
+ (at 251.46 77.47 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC3"
+ (at 251.46 69.5269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 251.46 73.66 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 251.46 73.66 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 251.46 73.66 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "956a6b9e-6c51-4e7a-a0df-ffffc47550c3")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR019")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Amiga_Custom_Chips:Gary")
+ (at 53.34 137.16 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "1458920f-5f89-4929-ad83-1051c7bfea4e")
+ (property "Reference" "U1"
+ (at 58.0741 86.0255 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "Gary"
+ (at 58.0741 88.4498 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" "Package_DIP:DIP-48_W15.24mm_Socket_LongPads"
+ (at 53.34 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 53.34 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "A500 timing belt"
+ (at 53.34 137.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "33"
+ (uuid "3e063da7-f0aa-430d-84ee-304c4c868c2c")
+ )
+ (pin "29"
+ (uuid "8f18bed0-cdab-449e-808f-735d72c86725")
+ )
+ (pin "39"
+ (uuid "94213489-c5cb-4e75-862c-70f724698604")
+ )
+ (pin "19"
+ (uuid "f58d5eb0-3c1f-487b-b0b6-d9200d76e37b")
+ )
+ (pin "35"
+ (uuid "dfc05e9a-24f5-47d2-9572-edd8c128522f")
+ )
+ (pin "18"
+ (uuid "b7dbb2ea-e726-4c2d-97ba-ceadf6a6f55f")
+ )
+ (pin "17"
+ (uuid "5611ac17-7bb4-4e44-b259-75b3540e9862")
+ )
+ (pin "23"
+ (uuid "c05a33e7-8e1b-43b3-b0d3-b88d6ad660d5")
+ )
+ (pin "7"
+ (uuid "5c35b45b-c106-4e9c-948e-3464a0125394")
+ )
+ (pin "40"
+ (uuid "d8dda61e-65c9-464d-bb80-d4c1cc1c16e7")
+ )
+ (pin "48"
+ (uuid "45c00362-9428-4a79-8a73-b372aa412120")
+ )
+ (pin "22"
+ (uuid "ae5e1968-0541-46d3-9604-8ca5387f44cf")
+ )
+ (pin "46"
+ (uuid "5d69edf5-5983-4163-a62c-297e74dba7f0")
+ )
+ (pin "15"
+ (uuid "850caf47-41b1-449c-8532-7e9f1d8e95c2")
+ )
+ (pin "9"
+ (uuid "98164287-ef8e-4017-8e2d-a5e08c31221e")
+ )
+ (pin "43"
+ (uuid "7f9a134e-71a7-4294-809b-76b76456acb0")
+ )
+ (pin "2"
+ (uuid "0434b918-d3a9-4c9b-8259-c06008321b30")
+ )
+ (pin "5"
+ (uuid "de4aec71-08b3-42f3-914e-c9286490a0e4")
+ )
+ (pin "42"
+ (uuid "11766ae4-3e45-41d1-b071-b6b27838e205")
+ )
+ (pin "3"
+ (uuid "3e14e784-4ea4-4b99-808a-e1d8ef5fe6f9")
+ )
+ (pin "27"
+ (uuid "53d0533d-446d-425c-bedd-c706e0648fb8")
+ )
+ (pin "20"
+ (uuid "b9152307-6858-4c13-854f-148a15a0c35d")
+ )
+ (pin "45"
+ (uuid "6dddf60a-f7e4-4f45-9eaf-ec4ba19f208a")
+ )
+ (pin "32"
+ (uuid "3b3edee7-0dae-4863-9574-599c1c12cc75")
+ )
+ (pin "4"
+ (uuid "ff9e2957-6cc9-4435-94ee-66f17c590a8b")
+ )
+ (pin "30"
+ (uuid "498232f0-784a-4e25-b311-05a253209a42")
+ )
+ (pin "44"
+ (uuid "660c0a7d-8d9a-4949-b610-a2d5c54509b9")
+ )
+ (pin "6"
+ (uuid "981d6a91-4535-47f3-85c6-bb90db6db5d0")
+ )
+ (pin "26"
+ (uuid "74f0fce5-c393-4243-b372-f859ce90de1f")
+ )
+ (pin "38"
+ (uuid "21f242b6-6d10-483d-b0b4-a138eae12c0c")
+ )
+ (pin "34"
+ (uuid "303e80ca-4b98-48f2-afb9-7f48b79c4820")
+ )
+ (pin "41"
+ (uuid "46fb26f4-57c4-4c92-9b66-515b90426675")
+ )
+ (pin "8"
+ (uuid "83ed165c-edd7-4d31-85aa-79e9a58c508a")
+ )
+ (pin "37"
+ (uuid "b59760e4-197d-4b38-8657-4732137db420")
+ )
+ (pin "36"
+ (uuid "58ddf3bf-9936-4d1d-a6cc-264f0ee33a2f")
+ )
+ (pin "47"
+ (uuid "bc98697c-8e6c-4bae-91fb-45fb4b5a5e20")
+ )
+ (pin "31"
+ (uuid "ac24a47a-9811-4c6a-8285-9d6cd9656b52")
+ )
+ (pin "24"
+ (uuid "0f5883f0-23c1-46a2-9434-e4923160570c")
+ )
+ (pin "28"
+ (uuid "c81182c4-d4df-4649-9717-df7bed4d23ee")
+ )
+ (pin "25"
+ (uuid "1239b58a-924a-40e7-a6b2-68e70d275e4d")
+ )
+ (pin "21"
+ (uuid "dc2aad1a-3e8c-46fb-9592-38d95778b235")
+ )
+ (pin "11"
+ (uuid "1b08b020-61d4-4e1f-9dd1-a864060a3950")
+ )
+ (pin "14"
+ (uuid "67521faa-220d-4110-85a6-9e99a3660474")
+ )
+ (pin "12"
+ (uuid "60688308-9cee-4ab7-9811-6d876be1d9dc")
+ )
+ (pin "13"
+ (uuid "634e45a1-b1e9-4d1d-a89b-bebd229a4a15")
+ )
+ (pin "16"
+ (uuid "9468bb87-2c43-418f-9c3a-a8764293200b")
+ )
+ (pin "10"
+ (uuid "2bde0fa1-6e37-49dd-ae5a-dd83bdb4b05c")
+ )
+ (pin "1"
+ (uuid "e46fac82-38da-4016-bf46-b1d022b636bb")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U1")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 232.41 158.75 90)
+ (unit 5)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "1d2c8436-3142-44d1-9c1f-19bb3afe47c6")
+ (property "Reference" "U3"
+ (at 232.41 149.5255 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 232.41 151.9498 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 232.41 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 232.41 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 232.41 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "42b57d68-d152-4058-8ac5-dd5ba8d54515")
+ )
+ (pin "11"
+ (uuid "150c50dd-efa9-473f-8ede-b7b12e9a47e6")
+ )
+ (pin "3"
+ (uuid "d9557f49-c750-481b-9631-11d812e9cee8")
+ )
+ (pin "4"
+ (uuid "bdafde8c-b0cc-4e2c-8f13-9c361f6169fc")
+ )
+ (pin "9"
+ (uuid "0e22c4d8-7123-4912-b707-db38868c90cb")
+ )
+ (pin "2"
+ (uuid "dfe8dca1-e39c-4063-9c09-ae1627805fe1")
+ )
+ (pin "1"
+ (uuid "c8ac11dd-6f75-4755-a19a-9348c1073a38")
+ )
+ (pin "8"
+ (uuid "da002233-50f6-4795-a328-228f26536d87")
+ )
+ (pin "6"
+ (uuid "0d96030e-d7db-4653-9776-f18e82b864bb")
+ )
+ (pin "12"
+ (uuid "0ef34794-886a-4202-ab75-3e5ed6adf6e0")
+ )
+ (pin "5"
+ (uuid "c159ec56-ae28-4ee8-a3d3-2c21aeaff71a")
+ )
+ (pin "7"
+ (uuid "16d7e51f-ebdc-4ff2-84bd-5ff4181c2c43")
+ )
+ (pin "10"
+ (uuid "fd7759c8-daed-4c5f-a4a7-e0ce7e7bf98b")
+ )
+ (pin "13"
+ (uuid "9b35c66b-898a-4b8b-ae34-7958e219c98c")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 5)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 240.03 36.83 0)
+ (unit 3)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "469a0785-2a97-458d-869b-84964ff37e53")
+ (property "Reference" "U3"
+ (at 240.0217 28.8755 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 240.0217 31.2998 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 240.03 36.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 240.03 36.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 240.03 36.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "42b57d68-d152-4058-8ac5-dd5ba8d54516")
+ )
+ (pin "11"
+ (uuid "150c50dd-efa9-473f-8ede-b7b12e9a47e7")
+ )
+ (pin "3"
+ (uuid "d9557f49-c750-481b-9631-11d812e9cee9")
+ )
+ (pin "4"
+ (uuid "bdafde8c-b0cc-4e2c-8f13-9c361f6169fd")
+ )
+ (pin "9"
+ (uuid "0e22c4d8-7123-4912-b707-db38868c90cc")
+ )
+ (pin "2"
+ (uuid "dfe8dca1-e39c-4063-9c09-ae1627805fe2")
+ )
+ (pin "1"
+ (uuid "c8ac11dd-6f75-4755-a19a-9348c1073a39")
+ )
+ (pin "8"
+ (uuid "da002233-50f6-4795-a328-228f26536d88")
+ )
+ (pin "6"
+ (uuid "0d96030e-d7db-4653-9776-f18e82b864bc")
+ )
+ (pin "12"
+ (uuid "0ef34794-886a-4202-ab75-3e5ed6adf6e1")
+ )
+ (pin "5"
+ (uuid "c159ec56-ae28-4ee8-a3d3-2c21aeaff71b")
+ )
+ (pin "7"
+ (uuid "16d7e51f-ebdc-4ff2-84bd-5ff4181c2c44")
+ )
+ (pin "10"
+ (uuid "fd7759c8-daed-4c5f-a4a7-e0ce7e7bf98c")
+ )
+ (pin "13"
+ (uuid "9b35c66b-898a-4b8b-ae34-7958e219c98d")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 3)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT02")
+ (at 265.43 158.75 90)
+ (unit 5)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "47515ad5-a5f1-445c-9050-4428566e4c6f")
+ (property "Reference" "U4"
+ (at 265.43 149.5255 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT02"
+ (at 265.43 151.9498 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 265.43 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct02"
+ (at 265.43 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NOR gate"
+ (at 265.43 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "9"
+ (uuid "d7fb5abd-5079-4b89-aecf-cfb7025ce0f3")
+ )
+ (pin "4"
+ (uuid "e291b2e9-11d1-49d0-bcd6-9241f01a853c")
+ )
+ (pin "13"
+ (uuid "ec1593fe-c217-4f89-8989-37d0394f0842")
+ )
+ (pin "7"
+ (uuid "03482579-a455-4a78-b404-d0a27f2eff63")
+ )
+ (pin "5"
+ (uuid "bee68b7b-b095-4a07-91a1-1719c81e85f5")
+ )
+ (pin "10"
+ (uuid "6e35e76b-32cf-48cc-b9db-8919d3bd8b43")
+ )
+ (pin "8"
+ (uuid "a1806679-17cc-4532-bfdf-dd21c928d874")
+ )
+ (pin "11"
+ (uuid "f52ea51e-4798-4f4e-8fbc-4b5ea53a9809")
+ )
+ (pin "14"
+ (uuid "0a155d32-425e-483c-a2d1-f111bce47b11")
+ )
+ (pin "1"
+ (uuid "3f9278e6-7be1-4908-8173-ca1db878785e")
+ )
+ (pin "12"
+ (uuid "faa51d70-0740-41b6-8429-e8e401c9664a")
+ )
+ (pin "3"
+ (uuid "8f198e1e-5e42-4e47-977e-4b5477ca26a6")
+ )
+ (pin "2"
+ (uuid "50b604d7-af27-48ba-9f14-bf972553375e")
+ )
+ (pin "6"
+ (uuid "51134cfe-13ed-496f-8b22-fbf16c1f083d")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U4")
+ (unit 5)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 278.13 158.75 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "4b2ead32-1403-4eb3-83d6-5ab9f4ae568b")
+ (property "Reference" "#PWR010"
+ (at 278.13 165.1 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 278.13 162.8831 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 278.13 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 278.13 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 278.13 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "9f24a90c-e946-42b7-a96f-1a04a7922d51")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR010")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 217.17 55.88 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "4f2f3e13-1420-42f2-a4df-e048f3eba0a3")
+ (property "Reference" "#PWR05"
+ (at 217.17 62.23 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 217.17 60.0131 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 217.17 55.88 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 217.17 55.88 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 217.17 55.88 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "6021fb97-f4ba-479f-af87-7bdcd2879765")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR05")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 54.61 187.96 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "58fb2f33-514a-49bb-89d2-af8ca4a111d2")
+ (property "Reference" "#PWR01"
+ (at 54.61 194.31 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 54.61 192.0931 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 54.61 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 54.61 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 54.61 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "48771200-3bf6-4e19-aa9d-4fba8b7ca155")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR01")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 257.81 140.97 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "5ed2a214-af90-4691-bd77-a6082c216d4f")
+ (property "Reference" "#PWR018"
+ (at 257.81 144.78 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC2"
+ (at 257.81 136.8369 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 257.81 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 257.81 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 257.81 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "67a9697f-accc-4e70-8b4f-de44e01f3f13")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR018")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 44.45 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "5febd1f7-3ac4-4a11-aab1-8227be12f905")
+ (property "Reference" "#PWR012"
+ (at 44.45 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC1"
+ (at 44.45 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 44.45 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 44.45 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 44.45 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "61b0b966-42e7-4a52-b9fe-f3220d84016f")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR012")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 129.54 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "62996fa1-7b46-4a95-a53b-2410803d238d")
+ (property "Reference" "#PWR014"
+ (at 129.54 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC2"
+ (at 129.54 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 129.54 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 129.54 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 129.54 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "57bdddea-2d36-4e54-824d-c69385d3e9e2")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR014")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT02")
+ (at 138.43 48.26 0)
+ (unit 2)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "6b5f998b-2b5e-4198-a09d-89030018008c")
+ (property "Reference" "U4"
+ (at 138.43 40.3055 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT02"
+ (at 138.43 42.7298 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 138.43 48.26 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct02"
+ (at 138.43 48.26 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NOR gate"
+ (at 138.43 48.26 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "9"
+ (uuid "d7fb5abd-5079-4b89-aecf-cfb7025ce0f4")
+ )
+ (pin "4"
+ (uuid "e291b2e9-11d1-49d0-bcd6-9241f01a853d")
+ )
+ (pin "13"
+ (uuid "ec1593fe-c217-4f89-8989-37d0394f0843")
+ )
+ (pin "7"
+ (uuid "03482579-a455-4a78-b404-d0a27f2eff64")
+ )
+ (pin "5"
+ (uuid "bee68b7b-b095-4a07-91a1-1719c81e85f6")
+ )
+ (pin "10"
+ (uuid "6e35e76b-32cf-48cc-b9db-8919d3bd8b44")
+ )
+ (pin "8"
+ (uuid "a1806679-17cc-4532-bfdf-dd21c928d875")
+ )
+ (pin "11"
+ (uuid "f52ea51e-4798-4f4e-8fbc-4b5ea53a980a")
+ )
+ (pin "14"
+ (uuid "0a155d32-425e-483c-a2d1-f111bce47b12")
+ )
+ (pin "1"
+ (uuid "3f9278e6-7be1-4908-8173-ca1db878785f")
+ )
+ (pin "12"
+ (uuid "faa51d70-0740-41b6-8429-e8e401c9664b")
+ )
+ (pin "3"
+ (uuid "8f198e1e-5e42-4e47-977e-4b5477ca26a7")
+ )
+ (pin "2"
+ (uuid "50b604d7-af27-48ba-9f14-bf972553375f")
+ )
+ (pin "6"
+ (uuid "51134cfe-13ed-496f-8b22-fbf16c1f083e")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U4")
+ (unit 2)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT02")
+ (at 214.63 34.29 0)
+ (unit 4)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (uuid "6ed85f7b-e852-4fb2-8ceb-930f870fbe69")
+ (property "Reference" "U4"
+ (at 214.63 26.3355 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT02"
+ (at 214.63 28.7598 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 214.63 34.29 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct02"
+ (at 214.63 34.29 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NOR gate"
+ (at 214.63 34.29 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "9"
+ (uuid "d7fb5abd-5079-4b89-aecf-cfb7025ce0f5")
+ )
+ (pin "4"
+ (uuid "e291b2e9-11d1-49d0-bcd6-9241f01a853e")
+ )
+ (pin "13"
+ (uuid "ec1593fe-c217-4f89-8989-37d0394f0844")
+ )
+ (pin "7"
+ (uuid "03482579-a455-4a78-b404-d0a27f2eff65")
+ )
+ (pin "5"
+ (uuid "bee68b7b-b095-4a07-91a1-1719c81e85f7")
+ )
+ (pin "10"
+ (uuid "6e35e76b-32cf-48cc-b9db-8919d3bd8b45")
+ )
+ (pin "8"
+ (uuid "a1806679-17cc-4532-bfdf-dd21c928d876")
+ )
+ (pin "11"
+ (uuid "f52ea51e-4798-4f4e-8fbc-4b5ea53a980b")
+ )
+ (pin "14"
+ (uuid "0a155d32-425e-483c-a2d1-f111bce47b13")
+ )
+ (pin "1"
+ (uuid "3f9278e6-7be1-4908-8173-ca1db8787860")
+ )
+ (pin "12"
+ (uuid "faa51d70-0740-41b6-8429-e8e401c9664c")
+ )
+ (pin "3"
+ (uuid "8f198e1e-5e42-4e47-977e-4b5477ca26a8")
+ )
+ (pin "2"
+ (uuid "50b604d7-af27-48ba-9f14-bf9725533760")
+ )
+ (pin "6"
+ (uuid "51134cfe-13ed-496f-8b22-fbf16c1f083f")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U4")
+ (unit 4)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Jumper:SolderJumper_3_Open")
+ (at 53.34 50.8 90)
+ (unit 1)
+ (exclude_from_sim yes)
+ (in_bom no)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "72b227de-cb2f-4f41-b462-bdf110da0422")
+ (property "Reference" "JP1"
+ (at 51.689 49.5878 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "EXRAM: Amiga/GND"
+ (at 51.689 52.0121 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" "Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm"
+ (at 53.34 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 53.34 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Solder Jumper, 3-pole, open"
+ (at 53.34 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "2"
+ (uuid "27d8219e-6929-4e6e-95ec-6a534f1b54d4")
+ )
+ (pin "1"
+ (uuid "10847bf8-4d7c-4179-a1f2-b02200b6fe83")
+ )
+ (pin "3"
+ (uuid "7bc924e8-2337-435f-8899-298cbc77326a")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "JP1")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 240.03 140.97 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "777eef56-234a-4af0-b133-f523c53bef1b")
+ (property "Reference" "#PWR016"
+ (at 240.03 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 240.03 145.1031 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 240.03 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 240.03 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 240.03 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "01ae5e31-dba5-4d7e-a415-0728bf51899b")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR016")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 259.08 81.28 0)
+ (unit 4)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "77dbeed1-bc9c-407c-a815-65c068a64242")
+ (property "Reference" "U3"
+ (at 259.0717 73.3255 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 259.0717 75.7498 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 259.08 81.28 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 259.08 81.28 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 259.08 81.28 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "42b57d68-d152-4058-8ac5-dd5ba8d54517")
+ )
+ (pin "11"
+ (uuid "150c50dd-efa9-473f-8ede-b7b12e9a47e8")
+ )
+ (pin "3"
+ (uuid "d9557f49-c750-481b-9631-11d812e9ceea")
+ )
+ (pin "4"
+ (uuid "bdafde8c-b0cc-4e2c-8f13-9c361f6169fe")
+ )
+ (pin "9"
+ (uuid "0e22c4d8-7123-4912-b707-db38868c90cd")
+ )
+ (pin "2"
+ (uuid "dfe8dca1-e39c-4063-9c09-ae1627805fe3")
+ )
+ (pin "1"
+ (uuid "c8ac11dd-6f75-4755-a19a-9348c1073a3a")
+ )
+ (pin "8"
+ (uuid "da002233-50f6-4795-a328-228f26536d89")
+ )
+ (pin "6"
+ (uuid "0d96030e-d7db-4653-9776-f18e82b864bd")
+ )
+ (pin "12"
+ (uuid "0ef34794-886a-4202-ab75-3e5ed6adf6e2")
+ )
+ (pin "5"
+ (uuid "c159ec56-ae28-4ee8-a3d3-2c21aeaff71c")
+ )
+ (pin "7"
+ (uuid "16d7e51f-ebdc-4ff2-84bd-5ff4181c2c45")
+ )
+ (pin "10"
+ (uuid "fd7759c8-daed-4c5f-a4a7-e0ce7e7bf98d")
+ )
+ (pin "13"
+ (uuid "9b35c66b-898a-4b8b-ae34-7958e219c98e")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 4)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Amiga_Custom_Chips:Gary")
+ (at 129.54 137.16 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "7d406a26-57e9-4e98-8f55-35e2e4c48032")
+ (property "Reference" "U2"
+ (at 134.2741 86.0255 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "Amiga"
+ (at 134.2741 88.4498 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" "Package_DIP:DIP-48_W15.24mm_Socket_LongPads"
+ (at 129.54 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 129.54 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "A500 timing belt"
+ (at 129.54 137.16 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "33"
+ (uuid "db649976-97a7-4f29-aa37-2d64d57328d4")
+ )
+ (pin "29"
+ (uuid "5992fe6f-08f2-43d0-9dad-ff1feb6c303a")
+ )
+ (pin "39"
+ (uuid "e959910a-d36c-4454-bb9c-e0a6b3f31078")
+ )
+ (pin "19"
+ (uuid "8d26414e-d8a0-4de2-8e9d-53b1db0dd1ce")
+ )
+ (pin "35"
+ (uuid "9e84b6c4-b091-4510-9e91-951bf895ec28")
+ )
+ (pin "18"
+ (uuid "558b4e22-43b7-4aca-91d9-cf9a2b467856")
+ )
+ (pin "17"
+ (uuid "f31da848-3bfd-401b-b533-83519eef8a96")
+ )
+ (pin "23"
+ (uuid "6e38a4da-b05a-49bb-8f59-31abf8251e87")
+ )
+ (pin "7"
+ (uuid "ba5efff1-7e52-4be1-ac3e-a516614b786e")
+ )
+ (pin "40"
+ (uuid "7cb60a38-0754-41c2-80e5-d54ede1b8b34")
+ )
+ (pin "48"
+ (uuid "a7be8d3b-cd96-4201-b147-075b4a8dfa14")
+ )
+ (pin "22"
+ (uuid "7b1b8bf2-6c9e-4bc4-955d-c1c59e0208e0")
+ )
+ (pin "46"
+ (uuid "089159d2-c26d-41d8-a465-b37f7121c145")
+ )
+ (pin "15"
+ (uuid "adccd5c2-42de-44c6-ba90-38b6cb583e1a")
+ )
+ (pin "9"
+ (uuid "15a54fd6-44ca-454e-9149-d37cc88b6314")
+ )
+ (pin "43"
+ (uuid "27a3c824-af6d-4c88-abaa-b108fa225dcb")
+ )
+ (pin "2"
+ (uuid "1c01b04e-8039-4676-a00e-5b3988a2d097")
+ )
+ (pin "5"
+ (uuid "29f0e506-78a2-4523-a0f7-8fa353fc812a")
+ )
+ (pin "42"
+ (uuid "ce09a11d-654d-4c45-9ad1-26c8eaba9b7b")
+ )
+ (pin "3"
+ (uuid "63dcb498-1a5e-44a5-958e-876db5cb7863")
+ )
+ (pin "27"
+ (uuid "691c9fc8-df8a-4143-892f-68df4330b93f")
+ )
+ (pin "20"
+ (uuid "c1620e44-e8d9-432d-bb4a-98abb21d7269")
+ )
+ (pin "45"
+ (uuid "b1490e13-ddb7-4f61-90e8-2e30c289ae69")
+ )
+ (pin "32"
+ (uuid "74ff82c4-a020-4a35-8ae8-cc0b98db1384")
+ )
+ (pin "4"
+ (uuid "75c0406e-0d36-4757-bbf2-ad28d85ac2eb")
+ )
+ (pin "30"
+ (uuid "8c54dcbd-4532-4c5f-a7b8-3b6a1c4f7c3e")
+ )
+ (pin "44"
+ (uuid "beb4613c-6688-40e9-9540-9189341f41b9")
+ )
+ (pin "6"
+ (uuid "5c0d18ab-30ce-4226-97e7-627f1dc97fa3")
+ )
+ (pin "26"
+ (uuid "4e7ddd2b-a8e5-4305-bacd-7a1cff844d52")
+ )
+ (pin "38"
+ (uuid "d2af734a-0425-4705-ae63-1faed698bc6e")
+ )
+ (pin "34"
+ (uuid "00d3b1e0-ec67-47cc-ae7f-e1e7ca7f614d")
+ )
+ (pin "41"
+ (uuid "3b35146d-330f-4487-9d20-40060981d862")
+ )
+ (pin "8"
+ (uuid "7cc4411f-76a1-41d0-b2d2-37232cca8755")
+ )
+ (pin "37"
+ (uuid "a06d26a8-a4d0-491b-9adb-ef8d51b0f03a")
+ )
+ (pin "36"
+ (uuid "c63acf3a-7cb4-4dc9-ae0f-099b8dddc185")
+ )
+ (pin "47"
+ (uuid "087190da-7659-4006-901f-478bda4ffb32")
+ )
+ (pin "31"
+ (uuid "3b11b2a7-8ec6-4d2a-82d4-1288ea821b6a")
+ )
+ (pin "24"
+ (uuid "f21aa840-9918-4b33-9d66-ea71c7b659ef")
+ )
+ (pin "28"
+ (uuid "cc510b07-bb00-4e8a-bcc8-f0035289a9eb")
+ )
+ (pin "25"
+ (uuid "1d6e4f42-f9a4-4978-8333-dc96084dfbcd")
+ )
+ (pin "21"
+ (uuid "5de8c4bb-c6b2-491c-a54f-9f7d28aa3418")
+ )
+ (pin "11"
+ (uuid "7292d266-db2c-4efd-8b52-11024a7be6fc")
+ )
+ (pin "14"
+ (uuid "c616eba4-b3e4-43a4-9356-eb36fcd8babc")
+ )
+ (pin "12"
+ (uuid "a2f55edb-39fc-4f6d-8dc3-131af894a785")
+ )
+ (pin "13"
+ (uuid "cd29325b-4524-43d4-b74a-a23c3aef0e40")
+ )
+ (pin "16"
+ (uuid "1ae530c0-7e41-48ae-80fb-d83789cbeb52")
+ )
+ (pin "10"
+ (uuid "ff9ee30c-55a8-4d87-8450-cf79c45886b1")
+ )
+ (pin "1"
+ (uuid "f1c95649-2626-4294-9f38-cc22b2a430c2")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U2")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT02")
+ (at 224.79 53.34 0)
+ (unit 3)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "7e5c98d1-19c1-4663-ba9d-d5cfd83074e8")
+ (property "Reference" "U4"
+ (at 224.79 45.3855 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT02"
+ (at 224.79 47.8098 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 224.79 53.34 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct02"
+ (at 224.79 53.34 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NOR gate"
+ (at 224.79 53.34 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "9"
+ (uuid "3de80602-76e8-4738-bac4-b286965865e4")
+ )
+ (pin "4"
+ (uuid "e291b2e9-11d1-49d0-bcd6-9241f01a853f")
+ )
+ (pin "13"
+ (uuid "ec1593fe-c217-4f89-8989-37d0394f0845")
+ )
+ (pin "7"
+ (uuid "03482579-a455-4a78-b404-d0a27f2eff66")
+ )
+ (pin "5"
+ (uuid "bee68b7b-b095-4a07-91a1-1719c81e85f8")
+ )
+ (pin "10"
+ (uuid "49b69bc0-7fda-4576-96fd-266847f2d341")
+ )
+ (pin "8"
+ (uuid "e6c8a207-fdac-44ea-86f5-f631b3169abd")
+ )
+ (pin "11"
+ (uuid "f52ea51e-4798-4f4e-8fbc-4b5ea53a980c")
+ )
+ (pin "14"
+ (uuid "0a155d32-425e-483c-a2d1-f111bce47b14")
+ )
+ (pin "1"
+ (uuid "3f9278e6-7be1-4908-8173-ca1db8787861")
+ )
+ (pin "12"
+ (uuid "faa51d70-0740-41b6-8429-e8e401c9664d")
+ )
+ (pin "3"
+ (uuid "8f198e1e-5e42-4e47-977e-4b5477ca26a9")
+ )
+ (pin "2"
+ (uuid "50b604d7-af27-48ba-9f14-bf9725533761")
+ )
+ (pin "6"
+ (uuid "51134cfe-13ed-496f-8b22-fbf16c1f0840")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U4")
+ (unit 3)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Connector_Generic:Conn_01x01")
+ (at 256.54 36.83 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "84e2bdf7-28d8-42c5-a640-6acc76a43951")
+ (property "Reference" "J1"
+ (at 258.572 35.6178 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "SRAM A20"
+ (at 258.572 38.0421 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x01_P2.54mm_Vertical"
+ (at 256.54 36.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 256.54 36.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)"
+ (at 256.54 36.83 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "fca83b53-bd72-4bca-b5ff-8be256a6a9f6")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "J1")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 224.79 140.97 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "85fae09d-4d4c-4405-9a09-46a49442f2ce")
+ (property "Reference" "#PWR011"
+ (at 224.79 144.78 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC3"
+ (at 224.79 136.8369 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 224.79 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 224.79 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 224.79 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "414d0204-af22-4ce9-8b3b-8d402ca4fded")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR011")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 191.77 31.75 0)
+ (unit 2)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "88567d3b-b362-47ed-a4c2-4bc27867cbb6")
+ (property "Reference" "U3"
+ (at 191.7617 23.7955 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 191.7617 26.2198 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 191.77 31.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 191.77 31.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 191.77 31.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "42b57d68-d152-4058-8ac5-dd5ba8d54518")
+ )
+ (pin "11"
+ (uuid "150c50dd-efa9-473f-8ede-b7b12e9a47e9")
+ )
+ (pin "3"
+ (uuid "d9557f49-c750-481b-9631-11d812e9ceeb")
+ )
+ (pin "4"
+ (uuid "bdafde8c-b0cc-4e2c-8f13-9c361f6169ff")
+ )
+ (pin "9"
+ (uuid "0e22c4d8-7123-4912-b707-db38868c90ce")
+ )
+ (pin "2"
+ (uuid "dfe8dca1-e39c-4063-9c09-ae1627805fe4")
+ )
+ (pin "1"
+ (uuid "c8ac11dd-6f75-4755-a19a-9348c1073a3b")
+ )
+ (pin "8"
+ (uuid "da002233-50f6-4795-a328-228f26536d8a")
+ )
+ (pin "6"
+ (uuid "0d96030e-d7db-4653-9776-f18e82b864be")
+ )
+ (pin "12"
+ (uuid "0ef34794-886a-4202-ab75-3e5ed6adf6e3")
+ )
+ (pin "5"
+ (uuid "c159ec56-ae28-4ee8-a3d3-2c21aeaff71d")
+ )
+ (pin "7"
+ (uuid "16d7e51f-ebdc-4ff2-84bd-5ff4181c2c46")
+ )
+ (pin "10"
+ (uuid "fd7759c8-daed-4c5f-a4a7-e0ce7e7bf98e")
+ )
+ (pin "13"
+ (uuid "9b35c66b-898a-4b8b-ae34-7958e219c98f")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 2)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Connector_Generic:Conn_01x01")
+ (at 204.47 50.8 180)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "8e43548f-904e-4ecc-bdda-db388a2b068b")
+ (property "Reference" "J2"
+ (at 204.47 45.3855 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "Agnus decA20"
+ (at 204.47 47.8098 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x01_P2.54mm_Vertical"
+ (at 204.47 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 204.47 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)"
+ (at 204.47 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "921f3294-c45f-4470-ba2b-3ac6a94d8e2a")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "J2")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT00")
+ (at 168.91 29.21 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "8ec5b0dc-edee-428e-9245-b7ae201d859a")
+ (property "Reference" "U3"
+ (at 168.9017 21.2555 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT00"
+ (at 168.9017 23.6798 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 168.91 29.21 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct00"
+ (at 168.91 29.21 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NAND gate"
+ (at 168.91 29.21 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "14"
+ (uuid "42b57d68-d152-4058-8ac5-dd5ba8d54519")
+ )
+ (pin "11"
+ (uuid "150c50dd-efa9-473f-8ede-b7b12e9a47ea")
+ )
+ (pin "3"
+ (uuid "d9557f49-c750-481b-9631-11d812e9ceec")
+ )
+ (pin "4"
+ (uuid "bdafde8c-b0cc-4e2c-8f13-9c361f616a00")
+ )
+ (pin "9"
+ (uuid "0e22c4d8-7123-4912-b707-db38868c90cf")
+ )
+ (pin "2"
+ (uuid "dfe8dca1-e39c-4063-9c09-ae1627805fe5")
+ )
+ (pin "1"
+ (uuid "c8ac11dd-6f75-4755-a19a-9348c1073a3c")
+ )
+ (pin "8"
+ (uuid "da002233-50f6-4795-a328-228f26536d8b")
+ )
+ (pin "6"
+ (uuid "0d96030e-d7db-4653-9776-f18e82b864bf")
+ )
+ (pin "12"
+ (uuid "0ef34794-886a-4202-ab75-3e5ed6adf6e4")
+ )
+ (pin "5"
+ (uuid "c159ec56-ae28-4ee8-a3d3-2c21aeaff71e")
+ )
+ (pin "7"
+ (uuid "16d7e51f-ebdc-4ff2-84bd-5ff4181c2c47")
+ )
+ (pin "10"
+ (uuid "fd7759c8-daed-4c5f-a4a7-e0ce7e7bf98f")
+ )
+ (pin "13"
+ (uuid "9b35c66b-898a-4b8b-ae34-7958e219c990")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U3")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Device:C_Small")
+ (at 265.43 140.97 90)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "95ba9555-c6eb-4010-b438-dae05889665f")
+ (property "Reference" "C4"
+ (at 265.4363 135.2634 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "100nF"
+ (at 265.4363 137.6877 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder"
+ (at 265.43 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 265.43 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Unpolarized capacitor, small symbol"
+ (at 265.43 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "d824f7a8-d726-4d46-a787-f2157edb0f06")
+ )
+ (pin "2"
+ (uuid "0a2f4c99-4c21-4c3a-b07b-965acfb9bf2e")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "C4")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 62.23 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "95c1467f-a40a-4a85-99e4-ee9e85b09d38")
+ (property "Reference" "#PWR013"
+ (at 62.23 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC3"
+ (at 62.23 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 62.23 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 62.23 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 62.23 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "1c147a3c-7b91-47eb-b7fe-7b9fddccfda7")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR013")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Device:C_Small")
+ (at 232.41 140.97 90)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "ab51a217-506c-4f22-8fda-613affee4968")
+ (property "Reference" "C3"
+ (at 232.4163 135.2634 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "100nF"
+ (at 232.4163 137.6877 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder"
+ (at 232.41 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 232.41 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Unpolarized capacitor, small symbol"
+ (at 232.41 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "3555b62b-b20b-4618-b1ce-c432f4b9f411")
+ )
+ (pin "2"
+ (uuid "8d7172c1-a329-4637-9603-668039ef1820")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "C3")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 273.05 140.97 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "ae09d510-f696-463e-8d05-b6abb4c3099c")
+ (property "Reference" "#PWR017"
+ (at 273.05 147.32 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 273.05 145.1031 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 273.05 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 273.05 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 273.05 140.97 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "9afb4fcb-eb75-4196-b0de-6782d39a11b7")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR017")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "74xx:74HCT02")
+ (at 166.37 45.72 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (uuid "af72ea59-5a36-4adf-b460-06d3621eb858")
+ (property "Reference" "U4"
+ (at 166.37 37.7655 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Value" "74AHCT02"
+ (at 166.37 40.1898 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm"
+ (at 166.37 45.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "http://www.ti.com/lit/gpn/sn74hct02"
+ (at 166.37 45.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "quad 2-input NOR gate"
+ (at 166.37 45.72 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "9"
+ (uuid "d7fb5abd-5079-4b89-aecf-cfb7025ce0f6")
+ )
+ (pin "4"
+ (uuid "e291b2e9-11d1-49d0-bcd6-9241f01a8540")
+ )
+ (pin "13"
+ (uuid "ec1593fe-c217-4f89-8989-37d0394f0846")
+ )
+ (pin "7"
+ (uuid "03482579-a455-4a78-b404-d0a27f2eff67")
+ )
+ (pin "5"
+ (uuid "bee68b7b-b095-4a07-91a1-1719c81e85f9")
+ )
+ (pin "10"
+ (uuid "6e35e76b-32cf-48cc-b9db-8919d3bd8b46")
+ )
+ (pin "8"
+ (uuid "a1806679-17cc-4532-bfdf-dd21c928d877")
+ )
+ (pin "11"
+ (uuid "f52ea51e-4798-4f4e-8fbc-4b5ea53a980d")
+ )
+ (pin "14"
+ (uuid "0a155d32-425e-483c-a2d1-f111bce47b15")
+ )
+ (pin "1"
+ (uuid "3f9278e6-7be1-4908-8173-ca1db8787862")
+ )
+ (pin "12"
+ (uuid "faa51d70-0740-41b6-8429-e8e401c9664e")
+ )
+ (pin "3"
+ (uuid "8f198e1e-5e42-4e47-977e-4b5477ca26aa")
+ )
+ (pin "2"
+ (uuid "50b604d7-af27-48ba-9f14-bf9725533762")
+ )
+ (pin "6"
+ (uuid "51134cfe-13ed-496f-8b22-fbf16c1f0841")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "U4")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 53.34 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "afe041a6-c0bb-4a8f-9778-c8331031456f")
+ (property "Reference" "#PWR03"
+ (at 53.34 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC2"
+ (at 53.34 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 53.34 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 53.34 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 53.34 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "28f0dbbb-54d7-48aa-afb3-dd8f53507863")
+ )
+ (instances
+ (project ""
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR03")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 138.43 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "b8d5bdc2-abb4-408a-b1d1-08abac02147f")
+ (property "Reference" "#PWR015"
+ (at 138.43 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC3"
+ (at 138.43 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 138.43 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 138.43 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 138.43 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "3df9fe60-6be9-4112-9ac7-7915b4a21f12")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR015")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 252.73 158.75 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "bc521ede-e53b-4741-bfc4-33a457db7366")
+ (property "Reference" "#PWR08"
+ (at 252.73 162.56 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC2"
+ (at 252.73 154.6169 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 252.73 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 252.73 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 252.73 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "80c948e9-9889-463e-aec4-7053cfd1d7b0")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR08")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 53.34 55.88 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "c6dbdf8e-2f4d-4473-bfac-c30ef5172ebe")
+ (property "Reference" "#PWR06"
+ (at 53.34 62.23 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 53.34 60.0131 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 53.34 55.88 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 53.34 55.88 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 53.34 55.88 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "123e3bb7-2bf6-4d0a-b22d-0a3846595844")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR06")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "Jumper:SolderJumper_3_Open")
+ (at 173.99 50.8 90)
+ (unit 1)
+ (exclude_from_sim yes)
+ (in_bom no)
+ (on_board yes)
+ (dnp no)
+ (uuid "c7ff44df-7125-4e6b-8a39-e45724f140c4")
+ (property "Reference" "JP2"
+ (at 172.3391 49.5878 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Value" "Trigger: SlowRAM/ChipRAM"
+ (at 172.3391 52.0121 90)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (justify left)
+ )
+ )
+ (property "Footprint" "Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm"
+ (at 173.99 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" "~"
+ (at 173.99 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Solder Jumper, 3-pole, open"
+ (at 173.99 50.8 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "2"
+ (uuid "dc43ac22-b19b-4d2c-abaa-730f3178864c")
+ )
+ (pin "1"
+ (uuid "79815210-d499-4f84-9bd5-3083d42fbfcf")
+ )
+ (pin "3"
+ (uuid "da438b81-393a-453d-be83-6d2236f36566")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "JP2")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 130.81 187.96 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "c80ae141-5c67-4664-bc65-fe87f6fd8c4d")
+ (property "Reference" "#PWR02"
+ (at 130.81 194.31 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 130.81 192.0931 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 130.81 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 130.81 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 130.81 187.96 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "8f622529-8c32-4f9d-bd0d-636c29140f0a")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR02")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 120.65 86.36 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "ec396d8a-0317-44ac-99d3-6806ee0a6bba")
+ (property "Reference" "#PWR04"
+ (at 120.65 90.17 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC1"
+ (at 120.65 82.2269 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 120.65 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 120.65 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 120.65 86.36 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "2d1ce98b-0e26-4a6a-a68d-43c25300bbed")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR04")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:GND")
+ (at 245.11 158.75 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "f6dd4879-5dc3-46e0-965d-42c133232dda")
+ (property "Reference" "#PWR09"
+ (at 245.11 165.1 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "GND"
+ (at 245.11 162.8831 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 245.11 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 245.11 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"GND\" , ground"
+ (at 245.11 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "ab9d5398-06ab-4e88-a557-0333c4b17b90")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR09")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (symbol
+ (lib_id "power:VCC")
+ (at 219.71 158.75 0)
+ (unit 1)
+ (exclude_from_sim no)
+ (in_bom yes)
+ (on_board yes)
+ (dnp no)
+ (fields_autoplaced yes)
+ (uuid "f9e6d094-3c01-4213-b3d8-00fe297d4a18")
+ (property "Reference" "#PWR07"
+ (at 219.71 162.56 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Value" "VCC3"
+ (at 219.71 154.6169 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ )
+ )
+ (property "Footprint" ""
+ (at 219.71 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Datasheet" ""
+ (at 219.71 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (property "Description" "Power symbol creates a global label with name \"VCC\""
+ (at 219.71 158.75 0)
+ (effects
+ (font
+ (size 1.27 1.27)
+ )
+ (hide yes)
+ )
+ )
+ (pin "1"
+ (uuid "039173c0-7d1d-4892-a30e-ea979f6be13f")
+ )
+ (instances
+ (project "amiga-gary-decoder-test"
+ (path "/96b7800d-39a7-49ce-a78c-3e3492b85c8e"
+ (reference "#PWR07")
+ (unit 1)
+ )
+ )
+ )
+ )
+ (sheet_instances
+ (path "/"
+ (page "1")
+ )
+ )
+)