summaryrefslogtreecommitdiff
path: root/2000EATX-KiCAD-R30/coprocessor.kicad_sch
blob: e849a13d719172c10f1b0df8186571aff6f9f0c2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
3698
3699
3700
3701
3702
3703
3704
3705
3706
3707
3708
3709
3710
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722
3723
3724
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735
3736
3737
3738
3739
3740
3741
3742
3743
3744
3745
3746
3747
3748
3749
3750
3751
3752
3753
3754
3755
3756
3757
3758
3759
3760
3761
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774
3775
3776
3777
3778
3779
3780
3781
3782
3783
3784
3785
3786
3787
3788
3789
3790
3791
3792
3793
3794
3795
3796
3797
3798
3799
3800
3801
3802
3803
3804
3805
3806
3807
3808
3809
3810
3811
3812
3813
3814
3815
3816
3817
3818
3819
3820
3821
3822
3823
3824
3825
3826
3827
3828
3829
3830
3831
3832
3833
3834
3835
3836
3837
3838
3839
3840
3841
3842
3843
3844
3845
3846
3847
3848
3849
3850
3851
3852
3853
3854
3855
3856
3857
3858
3859
3860
3861
3862
3863
3864
3865
3866
3867
3868
3869
3870
3871
3872
3873
3874
3875
3876
3877
3878
3879
3880
3881
3882
3883
3884
3885
3886
3887
3888
3889
3890
3891
3892
3893
3894
3895
3896
3897
3898
3899
3900
3901
3902
3903
3904
3905
3906
3907
3908
3909
3910
3911
3912
3913
3914
3915
3916
3917
3918
3919
3920
3921
3922
3923
3924
3925
3926
3927
3928
3929
3930
3931
3932
3933
3934
3935
3936
3937
3938
3939
3940
3941
3942
3943
3944
3945
3946
3947
3948
3949
3950
3951
3952
3953
3954
3955
3956
3957
3958
3959
3960
3961
3962
3963
3964
3965
3966
3967
3968
3969
3970
3971
3972
3973
3974
3975
3976
3977
3978
3979
3980
3981
3982
3983
3984
3985
3986
3987
3988
3989
3990
3991
3992
3993
3994
3995
3996
3997
3998
3999
4000
4001
4002
4003
4004
4005
4006
4007
4008
4009
4010
4011
4012
4013
4014
4015
4016
4017
4018
4019
4020
4021
4022
4023
4024
4025
4026
4027
4028
4029
4030
4031
4032
4033
4034
4035
4036
4037
4038
4039
4040
4041
4042
4043
4044
4045
4046
4047
4048
4049
4050
4051
4052
4053
4054
4055
4056
4057
4058
4059
4060
4061
4062
4063
4064
4065
4066
4067
4068
4069
4070
4071
4072
4073
4074
4075
4076
4077
4078
4079
4080
4081
4082
4083
4084
4085
4086
4087
4088
4089
4090
4091
4092
4093
4094
4095
4096
4097
4098
4099
4100
4101
4102
4103
4104
4105
4106
4107
4108
4109
4110
4111
4112
4113
4114
4115
4116
4117
4118
4119
4120
4121
4122
4123
4124
4125
4126
4127
4128
4129
4130
4131
4132
4133
4134
4135
4136
4137
4138
4139
4140
4141
4142
4143
4144
4145
4146
4147
4148
4149
4150
4151
4152
4153
4154
4155
4156
4157
4158
4159
4160
4161
4162
4163
4164
4165
4166
4167
4168
4169
4170
4171
4172
4173
4174
4175
4176
4177
4178
4179
4180
4181
4182
4183
4184
4185
4186
4187
4188
4189
4190
4191
4192
4193
4194
4195
4196
4197
4198
4199
4200
4201
4202
4203
4204
4205
4206
4207
4208
4209
4210
4211
4212
4213
4214
4215
4216
4217
4218
4219
4220
4221
4222
4223
4224
4225
4226
4227
4228
4229
4230
4231
4232
4233
4234
4235
4236
4237
4238
4239
4240
4241
4242
4243
4244
4245
4246
4247
4248
4249
4250
4251
4252
4253
4254
4255
4256
4257
4258
4259
4260
4261
4262
4263
4264
4265
4266
4267
4268
4269
4270
4271
4272
4273
4274
4275
4276
4277
4278
4279
4280
4281
4282
4283
4284
4285
4286
4287
4288
4289
4290
4291
4292
4293
4294
4295
4296
4297
4298
4299
4300
4301
4302
4303
4304
4305
4306
4307
4308
4309
4310
4311
4312
4313
4314
4315
4316
4317
4318
4319
4320
4321
4322
4323
4324
4325
4326
4327
4328
4329
4330
4331
4332
4333
4334
4335
4336
4337
4338
4339
4340
4341
4342
4343
4344
4345
4346
4347
4348
4349
4350
4351
4352
4353
4354
4355
4356
4357
4358
4359
4360
4361
4362
4363
4364
4365
4366
4367
4368
4369
4370
4371
4372
4373
4374
4375
4376
4377
4378
4379
4380
4381
4382
4383
4384
4385
4386
4387
4388
4389
4390
4391
4392
4393
4394
4395
4396
4397
4398
4399
4400
4401
4402
4403
4404
4405
4406
4407
4408
4409
4410
4411
4412
4413
4414
4415
4416
4417
4418
4419
4420
4421
4422
4423
4424
4425
4426
4427
4428
4429
4430
4431
4432
4433
4434
4435
4436
4437
4438
4439
4440
4441
4442
4443
4444
4445
4446
4447
4448
4449
4450
4451
4452
4453
4454
4455
4456
4457
4458
4459
4460
4461
4462
4463
4464
4465
4466
4467
4468
4469
4470
4471
4472
4473
4474
4475
4476
4477
4478
4479
4480
4481
4482
4483
4484
4485
4486
4487
4488
4489
4490
4491
4492
4493
4494
4495
4496
4497
4498
4499
4500
4501
4502
4503
4504
4505
4506
4507
4508
4509
4510
4511
4512
4513
4514
4515
4516
4517
4518
4519
4520
4521
4522
4523
4524
4525
4526
4527
4528
4529
4530
4531
4532
4533
4534
4535
4536
4537
4538
4539
4540
4541
4542
4543
4544
4545
4546
4547
4548
4549
4550
4551
4552
4553
4554
4555
4556
4557
4558
4559
4560
4561
4562
4563
4564
4565
4566
4567
4568
4569
4570
4571
4572
4573
4574
4575
4576
4577
4578
4579
4580
4581
4582
4583
4584
4585
4586
4587
4588
4589
4590
4591
4592
4593
4594
4595
4596
4597
4598
4599
4600
4601
4602
4603
4604
4605
4606
4607
4608
4609
4610
4611
4612
4613
4614
4615
4616
4617
4618
4619
4620
4621
4622
4623
4624
4625
4626
4627
4628
4629
4630
4631
4632
4633
4634
4635
4636
4637
4638
4639
4640
4641
4642
4643
4644
4645
4646
4647
4648
4649
4650
4651
4652
4653
4654
4655
4656
4657
4658
4659
4660
4661
4662
4663
4664
4665
4666
4667
4668
4669
4670
4671
4672
4673
4674
4675
4676
4677
4678
4679
4680
4681
4682
4683
4684
4685
4686
4687
4688
4689
4690
4691
4692
4693
4694
4695
4696
4697
4698
4699
4700
4701
4702
4703
4704
4705
4706
4707
4708
4709
4710
4711
4712
4713
4714
4715
4716
4717
4718
4719
4720
4721
4722
4723
4724
4725
4726
4727
4728
4729
4730
4731
4732
4733
4734
4735
4736
4737
4738
4739
4740
4741
4742
4743
4744
4745
4746
4747
4748
4749
4750
4751
4752
4753
4754
4755
4756
4757
4758
4759
4760
4761
4762
4763
4764
4765
4766
4767
4768
4769
4770
4771
4772
4773
4774
4775
4776
4777
4778
4779
4780
4781
4782
4783
4784
4785
4786
4787
4788
4789
4790
4791
4792
4793
4794
4795
4796
4797
4798
4799
4800
4801
4802
4803
4804
4805
4806
4807
4808
4809
4810
4811
4812
4813
4814
4815
4816
4817
4818
4819
4820
4821
4822
4823
4824
4825
4826
4827
4828
4829
4830
4831
4832
4833
4834
4835
4836
4837
4838
4839
4840
4841
4842
4843
4844
4845
4846
4847
4848
4849
4850
4851
4852
4853
4854
4855
4856
4857
4858
4859
4860
4861
4862
4863
4864
4865
4866
4867
4868
4869
4870
4871
4872
4873
4874
4875
4876
4877
4878
4879
4880
4881
4882
4883
4884
4885
4886
4887
4888
4889
4890
4891
4892
4893
4894
4895
4896
4897
4898
4899
4900
4901
4902
4903
4904
4905
4906
4907
4908
4909
4910
4911
4912
4913
4914
4915
4916
4917
4918
4919
4920
4921
4922
4923
4924
4925
4926
4927
4928
4929
4930
4931
4932
4933
4934
4935
4936
4937
4938
4939
4940
4941
4942
4943
4944
4945
4946
4947
4948
4949
4950
4951
4952
4953
4954
4955
4956
4957
4958
4959
4960
4961
4962
4963
4964
4965
4966
4967
4968
4969
4970
4971
4972
4973
4974
4975
4976
4977
4978
4979
4980
4981
4982
4983
4984
4985
4986
4987
4988
4989
4990
4991
4992
4993
4994
4995
4996
4997
4998
4999
5000
5001
5002
5003
5004
5005
5006
5007
5008
5009
5010
5011
5012
5013
5014
5015
5016
5017
5018
5019
5020
5021
5022
5023
5024
5025
5026
5027
5028
5029
5030
5031
5032
5033
5034
5035
5036
5037
5038
5039
5040
5041
5042
5043
5044
5045
5046
5047
5048
5049
5050
5051
5052
5053
5054
5055
5056
5057
5058
5059
5060
5061
5062
5063
5064
5065
5066
5067
5068
5069
5070
5071
5072
5073
5074
5075
5076
5077
5078
5079
5080
5081
5082
5083
5084
5085
5086
5087
5088
5089
5090
5091
5092
5093
5094
5095
5096
5097
5098
5099
5100
5101
5102
5103
5104
5105
5106
5107
5108
5109
5110
5111
5112
5113
5114
5115
5116
5117
5118
5119
5120
5121
5122
5123
5124
5125
5126
5127
5128
5129
5130
5131
5132
5133
5134
5135
5136
5137
5138
5139
5140
5141
5142
5143
5144
5145
5146
5147
5148
5149
5150
5151
5152
5153
5154
5155
5156
5157
5158
5159
5160
5161
5162
5163
5164
5165
5166
5167
5168
5169
5170
5171
5172
5173
5174
5175
5176
5177
5178
5179
5180
5181
5182
5183
5184
5185
5186
5187
5188
5189
5190
5191
5192
5193
5194
5195
5196
5197
5198
5199
5200
5201
5202
5203
5204
5205
5206
5207
5208
5209
5210
5211
5212
5213
5214
5215
5216
5217
5218
5219
5220
5221
5222
5223
5224
5225
5226
5227
5228
5229
5230
5231
5232
5233
5234
5235
5236
5237
5238
5239
5240
5241
5242
5243
5244
5245
5246
5247
5248
5249
5250
5251
5252
5253
5254
5255
5256
5257
5258
5259
5260
5261
5262
5263
5264
5265
5266
5267
5268
5269
5270
5271
5272
5273
5274
5275
5276
5277
5278
5279
5280
5281
5282
5283
5284
5285
5286
5287
5288
5289
5290
5291
5292
5293
5294
5295
5296
5297
5298
5299
5300
5301
5302
5303
5304
5305
5306
5307
5308
5309
5310
5311
5312
5313
5314
5315
5316
5317
5318
5319
5320
5321
5322
5323
5324
5325
5326
5327
5328
5329
5330
5331
5332
5333
5334
5335
5336
5337
5338
5339
5340
5341
5342
5343
5344
5345
5346
5347
5348
5349
5350
5351
5352
5353
5354
5355
5356
5357
5358
5359
5360
5361
5362
5363
5364
5365
5366
5367
5368
5369
5370
5371
5372
5373
5374
5375
5376
5377
5378
5379
5380
5381
5382
5383
5384
5385
5386
5387
5388
5389
5390
5391
5392
5393
5394
5395
5396
5397
5398
5399
5400
5401
5402
5403
5404
5405
5406
5407
5408
5409
5410
5411
5412
5413
5414
5415
5416
5417
5418
5419
5420
5421
5422
5423
5424
5425
5426
5427
5428
5429
5430
5431
5432
5433
5434
5435
5436
5437
5438
5439
5440
5441
5442
5443
5444
5445
5446
5447
5448
5449
5450
5451
5452
5453
5454
5455
5456
5457
5458
5459
5460
5461
5462
5463
5464
5465
5466
5467
5468
5469
5470
5471
5472
5473
5474
5475
5476
5477
5478
5479
5480
5481
5482
5483
5484
5485
5486
5487
5488
5489
5490
5491
5492
5493
5494
5495
5496
5497
5498
5499
5500
5501
5502
5503
5504
5505
5506
5507
5508
5509
5510
5511
5512
5513
5514
5515
5516
5517
5518
5519
5520
5521
5522
5523
5524
5525
5526
5527
5528
5529
5530
5531
5532
5533
5534
5535
5536
5537
5538
5539
5540
5541
5542
5543
5544
5545
5546
5547
5548
5549
5550
5551
5552
5553
5554
5555
5556
5557
5558
5559
5560
5561
5562
5563
5564
5565
5566
5567
5568
5569
5570
5571
5572
5573
5574
5575
5576
5577
5578
5579
5580
5581
5582
5583
5584
5585
5586
5587
5588
5589
5590
5591
5592
5593
5594
5595
5596
5597
5598
5599
5600
5601
5602
5603
5604
5605
5606
5607
5608
5609
5610
5611
5612
5613
5614
5615
5616
5617
5618
5619
5620
5621
5622
5623
5624
5625
5626
5627
5628
5629
5630
5631
5632
5633
5634
5635
5636
5637
5638
5639
5640
5641
5642
5643
5644
5645
5646
5647
5648
5649
5650
5651
5652
5653
5654
5655
5656
5657
5658
5659
5660
5661
5662
5663
5664
5665
5666
5667
5668
5669
5670
5671
5672
5673
5674
5675
5676
5677
5678
5679
5680
5681
5682
5683
5684
5685
5686
5687
5688
5689
5690
5691
5692
5693
5694
5695
5696
5697
5698
5699
5700
5701
5702
5703
5704
5705
5706
5707
5708
5709
5710
5711
5712
5713
5714
5715
5716
5717
5718
5719
5720
5721
5722
5723
5724
5725
5726
5727
5728
5729
5730
5731
5732
5733
5734
5735
5736
5737
5738
5739
5740
5741
5742
5743
5744
5745
5746
5747
5748
5749
5750
5751
5752
5753
5754
5755
5756
5757
5758
5759
5760
5761
5762
5763
5764
5765
5766
5767
5768
5769
5770
5771
5772
5773
5774
5775
5776
5777
5778
5779
5780
5781
5782
5783
5784
5785
5786
5787
5788
5789
5790
5791
5792
5793
5794
5795
5796
5797
5798
5799
5800
5801
5802
5803
5804
5805
5806
5807
5808
5809
5810
5811
5812
5813
5814
5815
5816
5817
5818
5819
5820
5821
5822
5823
5824
5825
5826
5827
5828
5829
5830
5831
5832
5833
5834
5835
5836
5837
5838
5839
5840
5841
5842
5843
5844
5845
5846
5847
5848
5849
5850
5851
5852
5853
5854
5855
5856
5857
5858
5859
5860
5861
5862
5863
5864
5865
5866
5867
5868
5869
5870
5871
5872
5873
5874
5875
5876
5877
5878
5879
5880
5881
5882
5883
5884
5885
5886
5887
5888
5889
5890
5891
5892
5893
5894
5895
5896
5897
5898
5899
5900
5901
5902
5903
5904
5905
5906
5907
5908
5909
5910
5911
5912
5913
5914
5915
5916
5917
5918
5919
5920
5921
5922
5923
5924
5925
5926
5927
5928
5929
5930
5931
5932
5933
5934
5935
5936
5937
5938
5939
5940
5941
5942
5943
5944
5945
5946
5947
5948
5949
5950
5951
5952
5953
5954
5955
5956
5957
5958
5959
5960
5961
5962
5963
5964
5965
5966
5967
5968
5969
5970
5971
5972
5973
5974
5975
5976
5977
5978
5979
5980
5981
5982
5983
5984
5985
5986
5987
5988
5989
5990
5991
5992
5993
5994
5995
5996
5997
5998
5999
6000
6001
6002
6003
6004
6005
6006
6007
6008
6009
6010
6011
6012
6013
6014
6015
6016
6017
6018
6019
6020
6021
6022
6023
6024
6025
6026
6027
6028
6029
6030
6031
6032
6033
6034
6035
6036
6037
6038
6039
6040
6041
6042
6043
6044
6045
6046
6047
6048
6049
6050
6051
6052
6053
6054
6055
6056
6057
6058
6059
6060
6061
6062
6063
6064
6065
6066
6067
6068
6069
6070
6071
6072
6073
6074
6075
6076
6077
6078
6079
6080
6081
6082
6083
6084
6085
6086
6087
6088
6089
6090
6091
6092
6093
6094
6095
6096
6097
6098
6099
6100
6101
6102
6103
6104
6105
6106
6107
6108
6109
6110
6111
6112
6113
6114
6115
6116
6117
6118
6119
6120
6121
6122
6123
6124
6125
6126
6127
6128
6129
6130
6131
6132
6133
6134
6135
6136
6137
6138
6139
6140
6141
6142
6143
6144
6145
6146
6147
6148
6149
6150
6151
6152
6153
6154
6155
6156
6157
6158
6159
6160
6161
6162
6163
6164
6165
6166
6167
6168
6169
6170
6171
6172
6173
6174
6175
6176
6177
6178
6179
6180
6181
6182
6183
6184
6185
6186
6187
6188
6189
6190
6191
6192
6193
6194
6195
6196
6197
6198
6199
6200
6201
6202
6203
6204
6205
6206
6207
6208
6209
6210
6211
6212
6213
6214
6215
6216
6217
6218
6219
6220
6221
6222
6223
6224
6225
6226
6227
6228
6229
6230
6231
6232
6233
6234
6235
6236
6237
6238
6239
6240
6241
6242
6243
6244
6245
6246
6247
6248
6249
6250
6251
6252
6253
6254
6255
6256
6257
6258
6259
6260
6261
6262
6263
6264
6265
6266
6267
6268
6269
6270
6271
6272
6273
6274
6275
6276
6277
6278
6279
6280
6281
6282
6283
6284
6285
6286
6287
6288
6289
6290
6291
6292
6293
6294
6295
6296
6297
6298
6299
6300
6301
6302
6303
6304
6305
6306
6307
6308
6309
6310
6311
6312
6313
6314
6315
6316
6317
6318
6319
6320
6321
6322
6323
6324
6325
6326
6327
6328
6329
6330
6331
6332
6333
6334
6335
6336
6337
6338
6339
6340
6341
6342
6343
6344
6345
6346
6347
6348
6349
6350
6351
6352
6353
6354
6355
6356
6357
6358
6359
6360
6361
6362
6363
6364
6365
6366
6367
6368
6369
6370
6371
6372
6373
6374
6375
6376
6377
6378
6379
6380
6381
6382
6383
6384
6385
6386
6387
6388
6389
6390
6391
6392
6393
6394
6395
6396
6397
6398
6399
6400
6401
6402
6403
6404
6405
6406
6407
6408
6409
6410
6411
6412
6413
6414
6415
6416
6417
6418
6419
6420
6421
6422
6423
6424
6425
6426
6427
6428
6429
6430
6431
6432
6433
6434
6435
6436
6437
6438
6439
6440
6441
6442
6443
6444
6445
6446
6447
6448
6449
6450
6451
6452
6453
6454
6455
6456
6457
6458
6459
6460
6461
6462
6463
6464
6465
6466
6467
6468
6469
6470
6471
6472
6473
6474
6475
6476
6477
6478
6479
6480
6481
6482
6483
6484
6485
6486
6487
6488
6489
6490
6491
6492
6493
6494
6495
6496
6497
6498
6499
6500
6501
6502
6503
6504
6505
6506
6507
6508
6509
6510
6511
6512
6513
6514
6515
6516
6517
6518
6519
6520
6521
6522
6523
6524
6525
6526
6527
6528
6529
6530
6531
6532
6533
6534
6535
6536
6537
6538
6539
6540
6541
6542
6543
6544
6545
(kicad_sch (version 20230121) (generator eeschema)

  (uuid 81a3ad74-9ce6-4e37-8646-a472e756b779)

  (paper "B")

  (title_block
    (title "Amiga 2000 EATX")
    (date "2023-03-10")
    (rev "3.0")
  )

  (lib_symbols
    (symbol "74xx:74LS245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
      (property "Reference" "U" (at -7.62 16.51 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "74LS245" (at -7.62 -16.51 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS245" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_locked" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "ki_keywords" "TTL BUS 3State" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Octal BUS Transceivers, 3-State outputs" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "DIP?20*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "74LS245_1_0"
        (polyline
          (pts
            (xy -0.635 -1.27)
            (xy -0.635 1.27)
            (xy 0.635 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -1.27 -1.27)
            (xy 0.635 -1.27)
            (xy 0.635 1.27)
            (xy 1.27 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (pin input line (at -12.7 -10.16 0) (length 5.08)
          (name "A->B" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at 0 -20.32 90) (length 5.08)
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "10" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at 12.7 -5.08 180) (length 5.08)
          (name "B7" (effects (font (size 1.27 1.27))))
          (number "11" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at 12.7 -2.54 180) (length 5.08)
          (name "B6" (effects (font (size 1.27 1.27))))
          (number "12" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at 12.7 0 180) (length 5.08)
          (name "B5" (effects (font (size 1.27 1.27))))
          (number "13" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at 12.7 2.54 180) (length 5.08)
          (name "B4" (effects (font (size 1.27 1.27))))
          (number "14" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at 12.7 5.08 180) (length 5.08)
          (name "B3" (effects (font (size 1.27 1.27))))
          (number "15" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at 12.7 7.62 180) (length 5.08)
          (name "B2" (effects (font (size 1.27 1.27))))
          (number "16" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at 12.7 10.16 180) (length 5.08)
          (name "B1" (effects (font (size 1.27 1.27))))
          (number "17" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at 12.7 12.7 180) (length 5.08)
          (name "B0" (effects (font (size 1.27 1.27))))
          (number "18" (effects (font (size 1.27 1.27))))
        )
        (pin input inverted (at -12.7 -12.7 0) (length 5.08)
          (name "CE" (effects (font (size 1.27 1.27))))
          (number "19" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at -12.7 12.7 0) (length 5.08)
          (name "A0" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
        (pin power_in line (at 0 20.32 270) (length 5.08)
          (name "VCC" (effects (font (size 1.27 1.27))))
          (number "20" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at -12.7 10.16 0) (length 5.08)
          (name "A1" (effects (font (size 1.27 1.27))))
          (number "3" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at -12.7 7.62 0) (length 5.08)
          (name "A2" (effects (font (size 1.27 1.27))))
          (number "4" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at -12.7 5.08 0) (length 5.08)
          (name "A3" (effects (font (size 1.27 1.27))))
          (number "5" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at -12.7 2.54 0) (length 5.08)
          (name "A4" (effects (font (size 1.27 1.27))))
          (number "6" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at -12.7 0 0) (length 5.08)
          (name "A5" (effects (font (size 1.27 1.27))))
          (number "7" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at -12.7 -2.54 0) (length 5.08)
          (name "A6" (effects (font (size 1.27 1.27))))
          (number "8" (effects (font (size 1.27 1.27))))
        )
        (pin tri_state line (at -12.7 -5.08 0) (length 5.08)
          (name "A7" (effects (font (size 1.27 1.27))))
          (number "9" (effects (font (size 1.27 1.27))))
        )
      )
      (symbol "74LS245_1_1"
        (rectangle (start -7.62 15.24) (end 7.62 -15.24)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
      )
    )
    (symbol "Amiga-Library:Amiga-2000-CPU-Slot" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
      (property "Reference" "CN" (at 0 36.83 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "Amiga-2000-CPU-Slot" (at 0 -58.42 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "AmigaFootprints:Samtec_HLE-150-02-xx-DV-TE_2x43_P2.54mm_Horizontal" (at 0 36.83 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "" (at 0 36.83 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "Amiga-2000-CPU-Slot_0_1"
        (rectangle (start -2.54 54.61) (end 2.54 -57.15)
          (stroke (width 0) (type solid))
          (fill (type none))
        )
      )
      (symbol "Amiga-2000-CPU-Slot_1_1"
        (pin unspecified line (at -1.27 53.34 0) (length 0)
          (name "1" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 41.91 0) (length 0)
          (name "10" (effects (font (size 1.27 1.27))))
          (number "10" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 40.64 0) (length 0)
          (name "11" (effects (font (size 1.27 1.27))))
          (number "11" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 39.37 0) (length 0)
          (name "12" (effects (font (size 1.27 1.27))))
          (number "12" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 38.1 0) (length 0)
          (name "13" (effects (font (size 1.27 1.27))))
          (number "13" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 36.83 0) (length 0)
          (name "14" (effects (font (size 1.27 1.27))))
          (number "14" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 35.56 0) (length 0)
          (name "15" (effects (font (size 1.27 1.27))))
          (number "15" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 34.29 0) (length 0)
          (name "16" (effects (font (size 1.27 1.27))))
          (number "16" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 33.02 0) (length 0)
          (name "17" (effects (font (size 1.27 1.27))))
          (number "17" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 31.75 0) (length 0)
          (name "18" (effects (font (size 1.27 1.27))))
          (number "18" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 30.48 0) (length 0)
          (name "19" (effects (font (size 1.27 1.27))))
          (number "19" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 52.07 0) (length 0)
          (name "2" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 29.21 0) (length 0)
          (name "20" (effects (font (size 1.27 1.27))))
          (number "20" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 27.94 0) (length 0)
          (name "21" (effects (font (size 1.27 1.27))))
          (number "21" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 26.67 0) (length 0)
          (name "22" (effects (font (size 1.27 1.27))))
          (number "22" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 25.4 0) (length 0)
          (name "23" (effects (font (size 1.27 1.27))))
          (number "23" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 24.13 0) (length 0)
          (name "24" (effects (font (size 1.27 1.27))))
          (number "24" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 22.86 0) (length 0)
          (name "25" (effects (font (size 1.27 1.27))))
          (number "25" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 21.59 0) (length 0)
          (name "26" (effects (font (size 1.27 1.27))))
          (number "26" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 20.32 0) (length 0)
          (name "27" (effects (font (size 1.27 1.27))))
          (number "27" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 19.05 0) (length 0)
          (name "28" (effects (font (size 1.27 1.27))))
          (number "28" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 17.78 0) (length 0)
          (name "29" (effects (font (size 1.27 1.27))))
          (number "29" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 50.8 0) (length 0)
          (name "3" (effects (font (size 1.27 1.27))))
          (number "3" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 16.51 0) (length 0)
          (name "30" (effects (font (size 1.27 1.27))))
          (number "30" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 15.24 0) (length 0)
          (name "31" (effects (font (size 1.27 1.27))))
          (number "31" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 13.97 0) (length 0)
          (name "32" (effects (font (size 1.27 1.27))))
          (number "32" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 12.7 0) (length 0)
          (name "33" (effects (font (size 1.27 1.27))))
          (number "33" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 11.43 0) (length 0)
          (name "34" (effects (font (size 1.27 1.27))))
          (number "34" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 10.16 0) (length 0)
          (name "35" (effects (font (size 1.27 1.27))))
          (number "35" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 8.89 0) (length 0)
          (name "36" (effects (font (size 1.27 1.27))))
          (number "36" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 7.62 0) (length 0)
          (name "37" (effects (font (size 1.27 1.27))))
          (number "37" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 6.35 0) (length 0)
          (name "38" (effects (font (size 1.27 1.27))))
          (number "38" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 5.08 0) (length 0)
          (name "39" (effects (font (size 1.27 1.27))))
          (number "39" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 49.53 0) (length 0)
          (name "4" (effects (font (size 1.27 1.27))))
          (number "4" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 3.81 0) (length 0)
          (name "40" (effects (font (size 1.27 1.27))))
          (number "40" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 2.54 0) (length 0)
          (name "41" (effects (font (size 1.27 1.27))))
          (number "41" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 1.27 0) (length 0)
          (name "42" (effects (font (size 1.27 1.27))))
          (number "42" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 0 0) (length 0)
          (name "43" (effects (font (size 1.27 1.27))))
          (number "43" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -1.27 0) (length 0)
          (name "44" (effects (font (size 1.27 1.27))))
          (number "44" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -2.54 0) (length 0)
          (name "45" (effects (font (size 1.27 1.27))))
          (number "45" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -3.81 0) (length 0)
          (name "46" (effects (font (size 1.27 1.27))))
          (number "46" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -5.08 0) (length 0)
          (name "47" (effects (font (size 1.27 1.27))))
          (number "47" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -6.35 0) (length 0)
          (name "48" (effects (font (size 1.27 1.27))))
          (number "48" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -7.62 0) (length 0)
          (name "49" (effects (font (size 1.27 1.27))))
          (number "49" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 48.26 0) (length 0)
          (name "5" (effects (font (size 1.27 1.27))))
          (number "5" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -8.89 0) (length 0)
          (name "50" (effects (font (size 1.27 1.27))))
          (number "50" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -10.16 0) (length 0)
          (name "51" (effects (font (size 1.27 1.27))))
          (number "51" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -11.43 0) (length 0)
          (name "52" (effects (font (size 1.27 1.27))))
          (number "52" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -12.7 0) (length 0)
          (name "53" (effects (font (size 1.27 1.27))))
          (number "53" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -13.97 0) (length 0)
          (name "54" (effects (font (size 1.27 1.27))))
          (number "54" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -15.24 0) (length 0)
          (name "55" (effects (font (size 1.27 1.27))))
          (number "55" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -16.51 0) (length 0)
          (name "56" (effects (font (size 1.27 1.27))))
          (number "56" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -17.78 0) (length 0)
          (name "57" (effects (font (size 1.27 1.27))))
          (number "57" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -19.05 0) (length 0)
          (name "58" (effects (font (size 1.27 1.27))))
          (number "58" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -20.32 0) (length 0)
          (name "59" (effects (font (size 1.27 1.27))))
          (number "59" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 46.99 0) (length 0)
          (name "6" (effects (font (size 1.27 1.27))))
          (number "6" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -21.59 0) (length 0)
          (name "60" (effects (font (size 1.27 1.27))))
          (number "60" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -22.86 0) (length 0)
          (name "61" (effects (font (size 1.27 1.27))))
          (number "61" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -24.13 0) (length 0)
          (name "62" (effects (font (size 1.27 1.27))))
          (number "62" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -25.4 0) (length 0)
          (name "63" (effects (font (size 1.27 1.27))))
          (number "63" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -26.67 0) (length 0)
          (name "64" (effects (font (size 1.27 1.27))))
          (number "64" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -27.94 0) (length 0)
          (name "65" (effects (font (size 1.27 1.27))))
          (number "65" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -29.21 0) (length 0)
          (name "66" (effects (font (size 1.27 1.27))))
          (number "66" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -30.48 0) (length 0)
          (name "67" (effects (font (size 1.27 1.27))))
          (number "67" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -31.75 0) (length 0)
          (name "68" (effects (font (size 1.27 1.27))))
          (number "68" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -33.02 0) (length 0)
          (name "69" (effects (font (size 1.27 1.27))))
          (number "69" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 45.72 0) (length 0)
          (name "7" (effects (font (size 1.27 1.27))))
          (number "7" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -34.29 0) (length 0)
          (name "70" (effects (font (size 1.27 1.27))))
          (number "70" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -35.56 0) (length 0)
          (name "71" (effects (font (size 1.27 1.27))))
          (number "71" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -36.83 0) (length 0)
          (name "72" (effects (font (size 1.27 1.27))))
          (number "72" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -38.1 0) (length 0)
          (name "73" (effects (font (size 1.27 1.27))))
          (number "73" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -39.37 0) (length 0)
          (name "74" (effects (font (size 1.27 1.27))))
          (number "74" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -40.64 0) (length 0)
          (name "75" (effects (font (size 1.27 1.27))))
          (number "75" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -41.91 0) (length 0)
          (name "76" (effects (font (size 1.27 1.27))))
          (number "76" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -43.18 0) (length 0)
          (name "77" (effects (font (size 1.27 1.27))))
          (number "77" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -44.45 0) (length 0)
          (name "78" (effects (font (size 1.27 1.27))))
          (number "78" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -45.72 0) (length 0)
          (name "79" (effects (font (size 1.27 1.27))))
          (number "79" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 44.45 0) (length 0)
          (name "8" (effects (font (size 1.27 1.27))))
          (number "8" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -46.99 0) (length 0)
          (name "80" (effects (font (size 1.27 1.27))))
          (number "80" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -48.26 0) (length 0)
          (name "81" (effects (font (size 1.27 1.27))))
          (number "81" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -49.53 0) (length 0)
          (name "82" (effects (font (size 1.27 1.27))))
          (number "82" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -50.8 0) (length 0)
          (name "83" (effects (font (size 1.27 1.27))))
          (number "83" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -52.07 0) (length 0)
          (name "84" (effects (font (size 1.27 1.27))))
          (number "84" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 -53.34 0) (length 0)
          (name "85" (effects (font (size 1.27 1.27))))
          (number "85" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at 1.27 -54.61 0) (length 0)
          (name "86" (effects (font (size 1.27 1.27))))
          (number "86" (effects (font (size 1.27 1.27))))
        )
        (pin unspecified line (at -1.27 43.18 0) (length 0)
          (name "9" (effects (font (size 1.27 1.27))))
          (number "9" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
      (property "Reference" "C" (at 0.254 1.778 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Value" "C_Small" (at 0.254 -2.032 0)
        (effects (font (size 1.27 1.27)) (justify left))
      )
      (property "Footprint" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "~" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "capacitor cap" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "C_*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "C_Small_0_1"
        (polyline
          (pts
            (xy -1.524 -0.508)
            (xy 1.524 -0.508)
          )
          (stroke (width 0.3302) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -1.524 0.508)
            (xy 1.524 0.508)
          )
          (stroke (width 0.3048) (type default))
          (fill (type none))
        )
      )
      (symbol "C_Small_1_1"
        (pin passive line (at 0 2.54 270) (length 2.032)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -2.54 90) (length 2.032)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
      (property "Reference" "R" (at 2.032 0 90)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "R" (at 0 0 90)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at -1.778 0 90)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "~" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "R res resistor" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Resistor" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "R_*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "R_0_1"
        (rectangle (start -1.016 -2.54) (end 1.016 2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
      )
      (symbol "R_1_1"
        (pin passive line (at 0 3.81 270) (length 1.27)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -3.81 90) (length 1.27)
          (name "~" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Device:R_Network05" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
      (property "Reference" "RN" (at -7.62 0 90)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "R_Network05" (at 7.62 0 90)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "Resistor_THT:R_Array_SIP6" (at 9.525 0 90)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "R network star-topology" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "5 resistor network, star topology, bussed resistors, small symbol" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "R?Array?SIP*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "R_Network05_0_1"
        (rectangle (start -6.35 -3.175) (end 6.35 3.175)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
        (rectangle (start -5.842 1.524) (end -4.318 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center -5.08 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start -3.302 1.524) (end -1.778 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center -2.54 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start -0.762 1.524) (end 0.762 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -5.08 -2.54)
            (xy -5.08 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.54 -2.54)
            (xy -2.54 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 -2.54)
            (xy 0 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 2.54 -2.54)
            (xy 2.54 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 5.08 -2.54)
            (xy 5.08 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -5.08 1.524)
            (xy -5.08 2.286)
            (xy -2.54 2.286)
            (xy -2.54 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.54 1.524)
            (xy -2.54 2.286)
            (xy 0 2.286)
            (xy 0 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 1.524)
            (xy 0 2.286)
            (xy 2.54 2.286)
            (xy 2.54 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 2.54 1.524)
            (xy 2.54 2.286)
            (xy 5.08 2.286)
            (xy 5.08 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (circle (center 0 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start 1.778 1.524) (end 3.302 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center 2.54 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start 4.318 1.524) (end 5.842 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
      )
      (symbol "R_Network05_1_1"
        (pin passive line (at -5.08 5.08 270) (length 2.54)
          (name "common" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at -5.08 -5.08 90) (length 1.27)
          (name "R1" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at -2.54 -5.08 90) (length 1.27)
          (name "R2" (effects (font (size 1.27 1.27))))
          (number "3" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -5.08 90) (length 1.27)
          (name "R3" (effects (font (size 1.27 1.27))))
          (number "4" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 2.54 -5.08 90) (length 1.27)
          (name "R4" (effects (font (size 1.27 1.27))))
          (number "5" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 5.08 -5.08 90) (length 1.27)
          (name "R5" (effects (font (size 1.27 1.27))))
          (number "6" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "Device:R_Network09" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
      (property "Reference" "RN" (at -12.7 0 90)
        (effects (font (size 1.27 1.27)))
      )
      (property "Value" "R_Network09" (at 12.7 0 90)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "Resistor_THT:R_Array_SIP10" (at 14.605 0 90)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "R network star-topology" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "9 resistor network, star topology, bussed resistors, small symbol" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_fp_filters" "R?Array?SIP*" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "R_Network09_0_1"
        (rectangle (start -11.43 -3.175) (end 11.43 3.175)
          (stroke (width 0.254) (type default))
          (fill (type background))
        )
        (rectangle (start -10.922 1.524) (end -9.398 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center -10.16 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start -8.382 1.524) (end -6.858 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center -7.62 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start -5.842 1.524) (end -4.318 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center -5.08 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start -3.302 1.524) (end -1.778 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center -2.54 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start -0.762 1.524) (end 0.762 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -10.16 -2.54)
            (xy -10.16 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -7.62 -2.54)
            (xy -7.62 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -5.08 -2.54)
            (xy -5.08 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.54 -2.54)
            (xy -2.54 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 -2.54)
            (xy 0 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 2.54 -2.54)
            (xy 2.54 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 5.08 -2.54)
            (xy 5.08 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 7.62 -2.54)
            (xy 7.62 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 10.16 -2.54)
            (xy 10.16 -3.81)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -10.16 1.524)
            (xy -10.16 2.286)
            (xy -7.62 2.286)
            (xy -7.62 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -7.62 1.524)
            (xy -7.62 2.286)
            (xy -5.08 2.286)
            (xy -5.08 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -5.08 1.524)
            (xy -5.08 2.286)
            (xy -2.54 2.286)
            (xy -2.54 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy -2.54 1.524)
            (xy -2.54 2.286)
            (xy 0 2.286)
            (xy 0 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 1.524)
            (xy 0 2.286)
            (xy 2.54 2.286)
            (xy 2.54 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 2.54 1.524)
            (xy 2.54 2.286)
            (xy 5.08 2.286)
            (xy 5.08 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 5.08 1.524)
            (xy 5.08 2.286)
            (xy 7.62 2.286)
            (xy 7.62 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 7.62 1.524)
            (xy 7.62 2.286)
            (xy 10.16 2.286)
            (xy 10.16 1.524)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (circle (center 0 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start 1.778 1.524) (end 3.302 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center 2.54 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start 4.318 1.524) (end 5.842 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center 5.08 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start 6.858 1.524) (end 8.382 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
        (circle (center 7.62 2.286) (radius 0.254)
          (stroke (width 0) (type default))
          (fill (type outline))
        )
        (rectangle (start 9.398 1.524) (end 10.922 -2.54)
          (stroke (width 0.254) (type default))
          (fill (type none))
        )
      )
      (symbol "R_Network09_1_1"
        (pin passive line (at -10.16 5.08 270) (length 2.54)
          (name "common" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 10.16 -5.08 90) (length 1.27)
          (name "R9" (effects (font (size 1.27 1.27))))
          (number "10" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at -10.16 -5.08 90) (length 1.27)
          (name "R1" (effects (font (size 1.27 1.27))))
          (number "2" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at -7.62 -5.08 90) (length 1.27)
          (name "R2" (effects (font (size 1.27 1.27))))
          (number "3" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at -5.08 -5.08 90) (length 1.27)
          (name "R3" (effects (font (size 1.27 1.27))))
          (number "4" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at -2.54 -5.08 90) (length 1.27)
          (name "R4" (effects (font (size 1.27 1.27))))
          (number "5" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 0 -5.08 90) (length 1.27)
          (name "R5" (effects (font (size 1.27 1.27))))
          (number "6" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 2.54 -5.08 90) (length 1.27)
          (name "R6" (effects (font (size 1.27 1.27))))
          (number "7" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 5.08 -5.08 90) (length 1.27)
          (name "R7" (effects (font (size 1.27 1.27))))
          (number "8" (effects (font (size 1.27 1.27))))
        )
        (pin passive line (at 7.62 -5.08 90) (length 1.27)
          (name "R8" (effects (font (size 1.27 1.27))))
          (number "9" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "power:+12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
      (property "Reference" "#PWR" (at 0 -3.81 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Value" "+12V" (at 0 3.556 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "global power" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Power symbol creates a global label with name \"+12V\"" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "+12V_0_1"
        (polyline
          (pts
            (xy -0.762 1.27)
            (xy 0 2.54)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 0)
            (xy 0 2.54)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 2.54)
            (xy 0.762 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "+12V_1_1"
        (pin power_in line (at 0 0 90) (length 0) hide
          (name "+12V" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
      (property "Reference" "#PWR" (at 0 -3.81 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Value" "+5V" (at 0 3.556 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "global power" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "+5V_0_1"
        (polyline
          (pts
            (xy -0.762 1.27)
            (xy 0 2.54)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 0)
            (xy 0 2.54)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
        (polyline
          (pts
            (xy 0 2.54)
            (xy 0.762 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "+5V_1_1"
        (pin power_in line (at 0 0 90) (length 0) hide
          (name "+5V" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
      )
    )
    (symbol "power:-5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
      (property "Reference" "#PWR" (at 0 2.54 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Value" "-5V" (at 0 3.81 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "global power" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "-5V_0_0"
        (pin power_in line (at 0 0 90) (length 0) hide
          (name "-5V" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
      )
      (symbol "-5V_0_1"
        (polyline
          (pts
            (xy 0 0)
            (xy 0 1.27)
            (xy 0.762 1.27)
            (xy 0 2.54)
            (xy -0.762 1.27)
            (xy 0 1.27)
          )
          (stroke (width 0) (type default))
          (fill (type outline))
        )
      )
    )
    (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
      (property "Reference" "#PWR" (at 0 -6.35 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Value" "GND" (at 0 -3.81 0)
        (effects (font (size 1.27 1.27)))
      )
      (property "Footprint" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "Datasheet" "" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_keywords" "global power" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0)
        (effects (font (size 1.27 1.27)) hide)
      )
      (symbol "GND_0_1"
        (polyline
          (pts
            (xy 0 0)
            (xy 0 -1.27)
            (xy 1.27 -1.27)
            (xy 0 -2.54)
            (xy -1.27 -1.27)
            (xy 0 -1.27)
          )
          (stroke (width 0) (type default))
          (fill (type none))
        )
      )
      (symbol "GND_1_1"
        (pin power_in line (at 0 0 270) (length 0) hide
          (name "GND" (effects (font (size 1.27 1.27))))
          (number "1" (effects (font (size 1.27 1.27))))
        )
      )
    )
  )

  (junction (at 266.7 30.48) (diameter 0) (color 0 0 0 0)
    (uuid 00f38b00-881c-437f-b2fa-a4912dcac398)
  )
  (junction (at 128.27 25.4) (diameter 0) (color 0 0 0 0)
    (uuid 01dd9464-7012-4884-945d-1b6810148d37)
  )
  (junction (at 38.1 130.81) (diameter 0) (color 0 0 0 0)
    (uuid 070efef0-5e8c-4057-ab96-123f6034c00c)
  )
  (junction (at 240.03 27.94) (diameter 0) (color 0 0 0 0)
    (uuid 0cdfc681-4dfe-42e9-9644-d89384280b98)
  )
  (junction (at 228.6 102.87) (diameter 0) (color 0 0 0 0)
    (uuid 1049d3ea-16cc-4876-92c5-c6175af5c217)
  )
  (junction (at 111.76 143.51) (diameter 0) (color 0 0 0 0)
    (uuid 136bf8c1-0592-491d-b7ea-6b484c0d6cc8)
  )
  (junction (at 38.1 69.85) (diameter 0) (color 0 0 0 0)
    (uuid 13f56e3b-0948-4d0f-85a8-742c966f8514)
  )
  (junction (at 234.95 25.4) (diameter 0) (color 0 0 0 0)
    (uuid 1850e7d0-aea7-4a95-b16d-b75f15fee6aa)
  )
  (junction (at 229.87 35.56) (diameter 0) (color 0 0 0 0)
    (uuid 19dcb405-7ce2-40fa-998b-782e3eb58e6c)
  )
  (junction (at 173.99 101.6) (diameter 0) (color 0 0 0 0)
    (uuid 1a0c7186-c3b6-4aaf-9504-661b38931871)
  )
  (junction (at 87.63 147.32) (diameter 0) (color 0 0 0 0)
    (uuid 1ac37c05-9573-4ce7-80aa-a1f8d237077e)
  )
  (junction (at 233.68 97.79) (diameter 0) (color 0 0 0 0)
    (uuid 20a58a4f-66ab-410d-a821-c39ddb8970f0)
  )
  (junction (at 38.1 115.57) (diameter 0) (color 0 0 0 0)
    (uuid 2f468a67-5a79-43d0-b18c-9e1607c95d66)
  )
  (junction (at 222.25 43.18) (diameter 0) (color 0 0 0 0)
    (uuid 34d7c79e-8b1e-4311-9395-f5060738744d)
  )
  (junction (at 132.08 167.64) (diameter 0) (color 0 0 0 0)
    (uuid 4a7f3ffa-7ebf-4713-ad69-d8fb2b5bb6e4)
  )
  (junction (at 38.1 146.05) (diameter 0) (color 0 0 0 0)
    (uuid 4c3895d2-f509-4233-a985-0fc69226490b)
  )
  (junction (at 137.16 172.72) (diameter 0) (color 0 0 0 0)
    (uuid 4c47f17e-3362-4a13-9670-7bf70fc15d55)
  )
  (junction (at 116.84 160.02) (diameter 0) (color 0 0 0 0)
    (uuid 504dd277-86c8-44a5-803a-7747cc1485f4)
  )
  (junction (at 237.49 30.48) (diameter 0) (color 0 0 0 0)
    (uuid 60f5210e-2a18-4a78-b3da-5c3d73f5fd88)
  )
  (junction (at 215.9 118.11) (diameter 0) (color 0 0 0 0)
    (uuid 63dd9cd8-c0f7-4419-b54d-63a51ffc8af1)
  )
  (junction (at 223.52 107.95) (diameter 0) (color 0 0 0 0)
    (uuid 64be08bf-a194-4a37-9eaa-19e59b690d08)
  )
  (junction (at 254 87.63) (diameter 0) (color 0 0 0 0)
    (uuid 660a116e-82b6-47e6-83d3-44e8649089ad)
  )
  (junction (at 236.22 95.25) (diameter 0) (color 0 0 0 0)
    (uuid 744e1772-e6f5-40ce-8d81-75a372757d7c)
  )
  (junction (at 238.76 92.71) (diameter 0) (color 0 0 0 0)
    (uuid 75667b62-0539-48ed-95c9-fe4fd2f5c670)
  )
  (junction (at 38.1 40.64) (diameter 0) (color 0 0 0 0)
    (uuid 75f1a86b-9af6-4c73-9f4c-dda19b5ad257)
  )
  (junction (at 232.41 33.02) (diameter 0) (color 0 0 0 0)
    (uuid 87a3eeb4-ba8e-467d-b828-404e1d2d87b6)
  )
  (junction (at 38.1 53.34) (diameter 0) (color 0 0 0 0)
    (uuid 89dd5bad-51c7-4263-9de5-25ebf1047166)
  )
  (junction (at 224.79 40.64) (diameter 0) (color 0 0 0 0)
    (uuid 8adba102-4e8e-4689-8732-4cd0718b4c24)
  )
  (junction (at 139.7 175.26) (diameter 0) (color 0 0 0 0)
    (uuid 908a5022-4303-4d9a-9042-36b19b7beb50)
  )
  (junction (at 236.22 136.398) (diameter 0) (color 0 0 0 0)
    (uuid 967800df-69df-4159-b71d-ebc2056b0edc)
  )
  (junction (at 227.33 38.1) (diameter 0) (color 0 0 0 0)
    (uuid 98122f8b-a47e-40e1-88ba-7c39ff8b074b)
  )
  (junction (at 119.38 162.56) (diameter 0) (color 0 0 0 0)
    (uuid 9aebffcc-50b3-4acf-9c3e-eaa9ffcfd6d3)
  )
  (junction (at 231.14 100.33) (diameter 0) (color 0 0 0 0)
    (uuid 9c992fd5-6fb3-4acc-8e95-9f0873b86f11)
  )
  (junction (at 166.37 60.96) (diameter 0) (color 0 0 0 0)
    (uuid a0cdb165-8bdb-464d-9209-d4140bf69e26)
  )
  (junction (at 226.06 105.41) (diameter 0) (color 0 0 0 0)
    (uuid a44ec750-4a3f-44ac-a72c-b873915f8cb4)
  )
  (junction (at 53.34 44.45) (diameter 0) (color 0 0 0 0)
    (uuid aabfc87b-3832-4437-b8ce-2bba1b2c3bd0)
  )
  (junction (at 219.71 120.65) (diameter 0) (color 0 0 0 0)
    (uuid afa28c07-619c-4614-9e7a-1049abbb0af3)
  )
  (junction (at 157.48 105.41) (diameter 0) (color 0 0 0 0)
    (uuid b5f314d3-874d-42bb-94aa-665e31883752)
  )
  (junction (at 121.92 165.1) (diameter 0) (color 0 0 0 0)
    (uuid c8d410b5-00f6-4078-8ff1-070dd25f2dae)
  )
  (junction (at 38.1 100.33) (diameter 0) (color 0 0 0 0)
    (uuid d21e6fb9-86e8-44ef-ac93-42846cf6964b)
  )
  (junction (at 38.1 85.09) (diameter 0) (color 0 0 0 0)
    (uuid d3a5bb28-f98d-464d-90df-c82b10601445)
  )
  (junction (at 38.1 41.91) (diameter 0) (color 0 0 0 0)
    (uuid d553ab56-fe3e-4123-b78d-a00a4758f604)
  )
  (junction (at 38.1 43.18) (diameter 0) (color 0 0 0 0)
    (uuid dbfa3852-abcd-481f-a162-ad62c12c03b7)
  )
  (junction (at 134.62 170.18) (diameter 0) (color 0 0 0 0)
    (uuid df65de9e-d686-45fd-9491-5479d584f0fc)
  )
  (junction (at 134.62 62.23) (diameter 0) (color 0 0 0 0)
    (uuid e2b46f51-e3c3-4d67-b8dc-b0b6ffc19407)
  )
  (junction (at 241.3 90.17) (diameter 0) (color 0 0 0 0)
    (uuid e70b0820-f3c5-4975-8471-eddcf13be4ad)
  )
  (junction (at 196.85 17.78) (diameter 0) (color 0 0 0 0)
    (uuid f515b1f7-c558-4ffb-ace0-3cb07af7ae78)
  )
  (junction (at 38.1 54.61) (diameter 0) (color 0 0 0 0)
    (uuid fbbf8ea6-d44c-4d0d-974d-729de2484850)
  )

  (no_connect (at 160.02 49.53) (uuid 4e6e4f0f-0504-4d50-81ce-e4c8573aec0c))
  (no_connect (at 209.55 45.72) (uuid 84ebcee6-cae1-41c2-81d9-0f89c7876677))
  (no_connect (at 142.24 157.48) (uuid 8ee0dde6-f22f-497c-bb1e-b68c127cb984))
  (no_connect (at 210.82 87.63) (uuid f211c4de-a092-4657-b41b-cbf5a50b1768))
  (no_connect (at 134.62 49.53) (uuid f58b3595-64c0-45d7-91ef-d18aa46910a0))

  (bus_entry (at 191.77 83.82) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 006690d5-ad8b-47e3-8173-3e907f28614c)
  )
  (bus_entry (at 191.77 128.27) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 008822b7-a1e8-4249-80cc-0f0ec6066216)
  )
  (bus_entry (at 229.87 20.32) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 00d11ac4-ae44-442b-bce2-352eee214f25)
  )
  (bus_entry (at 191.77 120.65) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 01ada988-128b-4dff-9790-647820da905b)
  )
  (bus_entry (at 226.06 81.28) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 02bb4c93-e94f-4045-b344-e53535dd97b2)
  )
  (bus_entry (at 191.77 39.37) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 0699a442-b624-4e3c-9407-32b9a68c9912)
  )
  (bus_entry (at 97.79 55.88) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 0b62018e-b3f7-4cf8-ad01-892719e233d9)
  )
  (bus_entry (at 191.77 81.28) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 0f1ec413-94e9-43dd-957e-e357fecbde52)
  )
  (bus_entry (at 278.13 107.95) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 11ecf822-7c24-4b7c-9c27-3c92a42f64b0)
  )
  (bus_entry (at 241.3 81.28) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 184709ae-67be-42c3-a463-70ca2aaa6b71)
  )
  (bus_entry (at 240.03 20.32) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 1bf575ba-33fb-4964-8ff7-ce749e83872d)
  )
  (bus_entry (at 102.87 120.65) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 1cdb8798-f69f-4c1b-b8f0-b848a1e2c3e6)
  )
  (bus_entry (at 278.13 110.49) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 230975c4-4d1d-4b17-afc4-96200b737417)
  )
  (bus_entry (at 231.14 81.28) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 23b340a2-b5e2-4c1f-adda-24a2e8dbc5e8)
  )
  (bus_entry (at 102.87 115.57) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 24645c0c-4af1-4292-9936-f1d3a5e4e6fe)
  )
  (bus_entry (at 102.87 73.66) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 27e9f845-66ed-4d17-aa0b-763ca9b9ae38)
  )
  (bus_entry (at 67.31 156.21) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 2a593e02-17bd-4f3f-a47d-680d47228fcc)
  )
  (bus_entry (at 191.77 76.2) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 2a84df5d-c60a-4168-8fac-2d35c021fc1f)
  )
  (bus_entry (at 232.41 20.32) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 2ad56c31-9aed-4cd6-8cff-0c7ae3fc8cc1)
  )
  (bus_entry (at 191.77 36.83) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 304c5e1e-037f-4408-84da-71f68aaaa31d)
  )
  (bus_entry (at 278.13 55.88) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 307c09d7-0e9f-4be2-b10f-d8edda80d954)
  )
  (bus_entry (at 97.79 106.68) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 32e52abf-0b6d-4dff-bf6c-302f81fadf31)
  )
  (bus_entry (at 97.79 71.12) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 33516fbf-9c9b-48be-bff5-9d771d67040a)
  )
  (bus_entry (at 102.87 83.82) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 33e68491-b63b-4d06-b7b4-7c0c4bc315cb)
  )
  (bus_entry (at 278.13 48.26) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 345139b6-82ee-4d80-9ebe-6df20fc7c0be)
  )
  (bus_entry (at 102.87 44.45) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 365ed1d2-31e2-4cf6-a967-9372307fd070)
  )
  (bus_entry (at 97.79 66.04) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 378cf1f4-3973-412c-a5d4-392e5966611d)
  )
  (bus_entry (at 278.13 105.41) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 394156fa-157d-4715-98a7-c76fdaf95e03)
  )
  (bus_entry (at 67.31 146.05) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 39ce2047-18f6-4728-b679-aa61e9d12bd8)
  )
  (bus_entry (at 222.25 20.32) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 3bf3c9ee-b92f-4cac-a19b-3229e98943ba)
  )
  (bus_entry (at 102.87 128.27) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 3cea265e-4362-44ad-8d3e-7d98402c1979)
  )
  (bus_entry (at 67.31 130.81) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 3d9562d9-0695-4111-ad46-2b6bc98d2843)
  )
  (bus_entry (at 191.77 118.11) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 4380a8eb-b4d2-4401-8a8a-1a39dd640ed2)
  )
  (bus_entry (at 67.31 120.65) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 45178471-8222-4446-abde-1b16f8f89bbc)
  )
  (bus_entry (at 97.79 68.58) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 487a8377-88c2-4d03-9cec-f51ee85e66bf)
  )
  (bus_entry (at 191.77 71.12) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 487e4827-290d-4db4-bb3e-bb894aba41e0)
  )
  (bus_entry (at 191.77 110.49) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 48c52fb9-2f5b-435b-b1ec-84a104ccd28a)
  )
  (bus_entry (at 67.31 125.73) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 4bebf70b-e823-4c2c-982d-15de9b14ef30)
  )
  (bus_entry (at 97.79 76.2) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 4e128dbf-5c45-40e2-bfd3-9a329f004552)
  )
  (bus_entry (at 278.13 40.64) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 512f3714-edc9-47ff-9992-0092f36c3d59)
  )
  (bus_entry (at 97.79 81.28) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 54b9cdfd-1c8c-4a6b-a565-73499c5c9549)
  )
  (bus_entry (at 97.79 78.74) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 5a1c3fc5-e2f2-4ffa-912c-19d64c74b3cd)
  )
  (bus_entry (at 97.79 92.71) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 5a51d278-ebaf-4dae-818a-27be58057765)
  )
  (bus_entry (at 97.79 109.22) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 5cc0960f-829f-47d0-a337-8eddaddae140)
  )
  (bus_entry (at 191.77 44.45) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 5d002ba0-7920-4447-8ade-de06275b0ab1)
  )
  (bus_entry (at 233.68 81.28) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 5f74da85-90d1-40c1-97e9-6ad0af933e84)
  )
  (bus_entry (at 102.87 68.58) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 630f4674-9615-4d46-911a-dcec3a33a086)
  )
  (bus_entry (at 67.31 140.97) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 65a5b8ae-d2eb-40a8-8799-c6f9e0ce17e6)
  )
  (bus_entry (at 102.87 78.74) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 65cefa28-37ce-4aba-930c-b6c44bb3d0f2)
  )
  (bus_entry (at 102.87 34.29) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 65de6d0c-fbaf-4740-8586-47e24023e45c)
  )
  (bus_entry (at 102.87 110.49) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 69af0126-7fba-4949-8917-fa1d046ab94a)
  )
  (bus_entry (at 97.79 87.63) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 6a3bf8ec-84bd-4bd3-84f6-807d9443e014)
  )
  (bus_entry (at 228.6 81.28) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 6a6d3fe5-04fa-40d2-82d2-2b7b933a9bb8)
  )
  (bus_entry (at 97.79 63.5) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 6e296f1b-9c36-421e-90b4-3c1e03f60ec3)
  )
  (bus_entry (at 67.31 135.89) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 6ef499f2-d521-4f68-a793-f02d5416be11)
  )
  (bus_entry (at 191.77 86.36) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 730e344b-1270-4f11-b64a-1338bb586d67)
  )
  (bus_entry (at 67.31 153.67) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 7479c6c0-43f3-4c25-9010-948d47824060)
  )
  (bus_entry (at 97.79 116.84) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 75c78c5c-5c70-4c66-849b-b64fc0bb7202)
  )
  (bus_entry (at 97.79 111.76) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 75ecb7da-810f-4dd1-9017-cf243b26f401)
  )
  (bus_entry (at 102.87 123.19) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 7843d7b0-ea7c-48a9-9794-a0f96a73348d)
  )
  (bus_entry (at 67.31 138.43) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 793be968-ca75-415c-b3b3-7b923b4aad17)
  )
  (bus_entry (at 102.87 39.37) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 7d02b369-4c69-4751-8a72-e2da781f692f)
  )
  (bus_entry (at 97.79 60.96) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 7d7d5b83-d80f-4d48-80c9-a1af76d3ed3f)
  )
  (bus_entry (at 191.77 34.29) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 8353c0ce-4480-49b5-bde1-f31f162515d4)
  )
  (bus_entry (at 97.79 97.79) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 8382df83-e983-44c0-a45b-3d6d62abd648)
  )
  (bus_entry (at 278.13 38.1) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 86e4e7c1-9c56-4e73-9afa-4346b6a3e8ca)
  )
  (bus_entry (at 102.87 71.12) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 89d748aa-e8ba-4557-b37b-d0920b64b53f)
  )
  (bus_entry (at 191.77 68.58) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 8a32aee2-ce49-48c6-8708-3ca06f64131f)
  )
  (bus_entry (at 67.31 123.19) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 8aacc487-b1b6-4bb9-bd41-b903c29ba57d)
  )
  (bus_entry (at 97.79 58.42) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 8cb76850-9856-42aa-bcb1-b8aae356cc31)
  )
  (bus_entry (at 97.79 53.34) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid 8ec52303-8ccd-4ae6-bc90-d7c88fc32f49)
  )
  (bus_entry (at 191.77 113.03) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 991590d7-854b-4d03-9b1d-8b3b0616a855)
  )
  (bus_entry (at 191.77 31.75) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 9c2ec26d-5e0b-4b58-9970-2fb507a331ac)
  )
  (bus_entry (at 278.13 43.18) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid 9ee3d38c-1795-4d6a-86b3-f8f35d22eb17)
  )
  (bus_entry (at 97.79 73.66) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid a20728c8-a218-4a40-914a-1f9b71e5c9d6)
  )
  (bus_entry (at 278.13 97.79) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid a223c358-c0d9-4213-9d0b-d96e536c5fb3)
  )
  (bus_entry (at 227.33 20.32) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid a52e2a14-21f8-4a10-b81d-7ea054248e2f)
  )
  (bus_entry (at 278.13 113.03) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid a6ce60b2-60a8-4923-ad6b-f5dc032f5583)
  )
  (bus_entry (at 102.87 125.73) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid a83f4786-94cf-46db-b20c-b00f09f0f309)
  )
  (bus_entry (at 278.13 102.87) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid a8b86d19-e57c-4fc9-bb6f-ce657fa2e83a)
  )
  (bus_entry (at 102.87 81.28) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid a95f921b-30fc-4ed4-afd1-13ad679223cc)
  )
  (bus_entry (at 191.77 125.73) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid aa669ff1-2e3f-4408-8082-212395c9a657)
  )
  (bus_entry (at 191.77 73.66) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid aff9699b-809e-4b13-b634-970d3e6c42bb)
  )
  (bus_entry (at 102.87 36.83) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid b2aeb7bc-83d9-4ba3-9838-fb9f697c1698)
  )
  (bus_entry (at 234.95 20.32) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid bbe7e6b0-b1f8-4c05-8195-81dcef75dc41)
  )
  (bus_entry (at 278.13 53.34) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid bcf4411b-8ab2-412b-84d2-95af834cd0dd)
  )
  (bus_entry (at 237.49 20.32) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid bedb2210-d957-4ec8-a439-5536d3e722d2)
  )
  (bus_entry (at 67.31 148.59) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid bedf6ceb-41a4-449e-8bce-b3a5feb00c0f)
  )
  (bus_entry (at 236.22 81.28) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid c0854668-08dc-4611-a3ba-9dedabf94c14)
  )
  (bus_entry (at 278.13 45.72) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid c4798be8-9070-4427-98bd-1aac6e1dd70a)
  )
  (bus_entry (at 191.77 78.74) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid c7690f4d-e241-46ee-a3d4-1f9a7b18e714)
  )
  (bus_entry (at 191.77 115.57) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid c9f9aca0-867d-44dc-9c0f-08a716c46cf7)
  )
  (bus_entry (at 191.77 123.19) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid cc0d357e-0def-482e-9f2c-f3038c182664)
  )
  (bus_entry (at 102.87 31.75) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid cc5369c4-90ef-485d-a430-65fdd6dfd508)
  )
  (bus_entry (at 97.79 90.17) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid d30147d8-b6a8-49d7-97e4-070d9d0a93ce)
  )
  (bus_entry (at 224.79 20.32) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid d475083e-5def-4dc8-a85c-c72bc00d1893)
  )
  (bus_entry (at 67.31 143.51) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid d4cf3075-1029-41a3-be2f-18da8953c2e8)
  )
  (bus_entry (at 278.13 50.8) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid d6eb11ba-4044-4b9b-8669-551b0483b09a)
  )
  (bus_entry (at 102.87 41.91) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid d742be82-735a-41c1-b7be-d454997bdee2)
  )
  (bus_entry (at 191.77 46.99) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid d7ecb311-9acf-4759-a7ef-fb174dc0035a)
  )
  (bus_entry (at 223.52 81.28) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid dbfecc77-6b2c-40e9-b2a5-f7f6ca111a94)
  )
  (bus_entry (at 278.13 95.25) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid e02ce98e-7979-4789-935f-476d65a5d4ce)
  )
  (bus_entry (at 67.31 118.11) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid e12e45b0-3fb7-46f9-b204-b9e74e9c5b26)
  )
  (bus_entry (at 102.87 46.99) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid e556dddb-eac7-4d9e-b294-7a45d164b8df)
  )
  (bus_entry (at 67.31 151.13) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid e8aeb6b4-f1fd-4786-9678-59691ac12979)
  )
  (bus_entry (at 102.87 113.03) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid eaa6221c-675d-4697-b6e0-7de49346f47c)
  )
  (bus_entry (at 278.13 100.33) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid f18d772c-97c1-4c55-a492-004336ab678a)
  )
  (bus_entry (at 67.31 133.35) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid f21e4738-2228-45e2-b671-168762ceb65e)
  )
  (bus_entry (at 97.79 114.3) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid f2d4f730-1331-4ce9-8cb0-79bdf95745f8)
  )
  (bus_entry (at 238.76 81.28) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid f4964a80-0920-4360-b24b-681c4f9c3f6f)
  )
  (bus_entry (at 97.79 104.14) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid f4e1fbb7-5917-4e96-8adc-51063a64f9ae)
  )
  (bus_entry (at 97.79 95.25) (size 2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid f667e903-314b-4fe3-859a-83985a369e7e)
  )
  (bus_entry (at 102.87 118.11) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid f7f59a3a-0b3e-4e54-8f3d-aecd4f368108)
  )
  (bus_entry (at 191.77 41.91) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid fb285c74-bec3-4e0f-b172-8aef115993dd)
  )
  (bus_entry (at 102.87 76.2) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid fcec180d-32a9-48e8-bc7f-3a417ab85e3f)
  )
  (bus_entry (at 67.31 128.27) (size 2.54 2.54)
    (stroke (width 0) (type default))
    (uuid fdd5db2f-e821-487f-8d59-b801b0e22910)
  )
  (bus_entry (at 102.87 86.36) (size -2.54 -2.54)
    (stroke (width 0) (type default))
    (uuid ff1612f3-fd24-481a-a468-d0cd45ae58bd)
  )

  (wire (pts (xy 107.95 165.1) (xy 121.92 165.1))
    (stroke (width 0) (type default))
    (uuid 013eea50-a86e-4972-ade6-19f293c96e02)
  )
  (wire (pts (xy 46.99 114.3) (xy 21.59 114.3))
    (stroke (width 0) (type default))
    (uuid 0180ac76-0d2f-4e0f-84a7-90822eecdbc9)
  )
  (wire (pts (xy 46.99 93.98) (xy 29.21 93.98))
    (stroke (width 0) (type default))
    (uuid 01aa5678-a673-44b7-a3ed-fe1a90ae1dfd)
  )
  (wire (pts (xy 72.39 76.2) (xy 72.39 71.12))
    (stroke (width 0) (type default))
    (uuid 01f3752c-3175-4217-8ba1-9ce135482e94)
  )
  (bus (pts (xy 280.67 100.33) (xy 280.67 102.87))
    (stroke (width 0) (type default))
    (uuid 02bb38af-267a-4394-98dd-e2442523ce2a)
  )

  (wire (pts (xy 160.02 31.75) (xy 191.77 31.75))
    (stroke (width 0) (type default))
    (uuid 031ed200-acfe-436c-8441-4ca1dbdfdd0b)
  )
  (wire (pts (xy 87.63 147.32) (xy 87.63 149.86))
    (stroke (width 0) (type default))
    (uuid 03307402-b149-46b4-ba7e-0e8f267d02a4)
  )
  (wire (pts (xy 114.3 153.67) (xy 114.3 157.48))
    (stroke (width 0) (type default))
    (uuid 03fc470a-4f64-4516-b0dc-68b1f94709c0)
  )
  (wire (pts (xy 236.22 100.33) (xy 247.65 100.33))
    (stroke (width 0) (type default))
    (uuid 03fe69a8-34c4-4ca5-9d96-f20a0878a6d2)
  )
  (wire (pts (xy 247.65 113.03) (xy 223.52 113.03))
    (stroke (width 0) (type default))
    (uuid 046b6611-5af6-4220-a38b-99464dd52f02)
  )
  (wire (pts (xy 161.29 115.57) (xy 191.77 115.57))
    (stroke (width 0) (type default))
    (uuid 0477164b-6f40-4070-b09f-deef38965ecf)
  )
  (wire (pts (xy 80.01 111.76) (xy 97.79 111.76))
    (stroke (width 0) (type default))
    (uuid 04921361-c8db-4dc7-bbbb-be1937cc5c27)
  )
  (wire (pts (xy 78.74 111.76) (xy 78.74 114.3))
    (stroke (width 0) (type default))
    (uuid 04be2eb7-1209-4062-b635-b07d47c1273e)
  )
  (wire (pts (xy 236.22 136.398) (xy 294.894 136.398))
    (stroke (width 0) (type default))
    (uuid 04cb09ee-9748-4326-b7e7-04d66048c056)
  )
  (wire (pts (xy 38.1 41.91) (xy 38.1 43.18))
    (stroke (width 0) (type default))
    (uuid 053d8fbe-cbe0-4e2e-bb9a-364e05669a22)
  )
  (wire (pts (xy 209.55 40.64) (xy 224.79 40.64))
    (stroke (width 0) (type default))
    (uuid 05a1666c-7f5a-47dc-9587-2c97ccce0c00)
  )
  (bus (pts (xy 100.33 24.13) (xy 100.33 29.21))
    (stroke (width 0) (type default))
    (uuid 05f97953-02dc-4c22-8c1e-845669fa41ad)
  )

  (wire (pts (xy 161.29 81.28) (xy 102.87 81.28))
    (stroke (width 0) (type default))
    (uuid 066e4b5f-5e4c-431b-837d-46ec892425e9)
  )
  (wire (pts (xy 278.13 100.33) (xy 273.05 100.33))
    (stroke (width 0) (type default))
    (uuid 06f9bd66-0d7b-4260-9d4e-fa7fd94c0f37)
  )
  (wire (pts (xy 229.87 20.32) (xy 229.87 35.56))
    (stroke (width 0) (type default))
    (uuid 077a99a0-41a5-4dc7-ba21-863451fe8b9e)
  )
  (wire (pts (xy 38.1 54.61) (xy 38.1 69.85))
    (stroke (width 0) (type default))
    (uuid 07ebfd8f-bfc6-42a3-b408-74aa7de8e2e0)
  )
  (bus (pts (xy 280.67 43.18) (xy 280.67 45.72))
    (stroke (width 0) (type default))
    (uuid 08c433b0-3977-418f-b0be-135505e5d033)
  )

  (wire (pts (xy 161.29 76.2) (xy 102.87 76.2))
    (stroke (width 0) (type default))
    (uuid 0ae27ae3-58cf-456e-a8fe-5d0ba28d87cb)
  )
  (wire (pts (xy 186.69 78.74) (xy 191.77 78.74))
    (stroke (width 0) (type default))
    (uuid 0bc9a048-e134-42d4-9c3d-d7c25e561f79)
  )
  (wire (pts (xy 236.22 95.25) (xy 236.22 100.33))
    (stroke (width 0) (type default))
    (uuid 0c15ac51-2c65-4aae-bfd5-6560662163b2)
  )
  (wire (pts (xy 228.6 102.87) (xy 228.6 81.28))
    (stroke (width 0) (type default))
    (uuid 0c3f515f-5fb8-440d-b856-3d6e638946d4)
  )
  (wire (pts (xy 223.52 107.95) (xy 223.52 113.03))
    (stroke (width 0) (type default))
    (uuid 0cb91aa6-4df9-4696-80a8-e34860b45c1e)
  )
  (wire (pts (xy 33.02 77.47) (xy 33.02 82.55))
    (stroke (width 0) (type default))
    (uuid 0d4d952c-c16f-42d4-8625-0f692685bc99)
  )
  (wire (pts (xy 53.34 45.72) (xy 53.34 44.45))
    (stroke (width 0) (type default))
    (uuid 0d8ff745-c9ac-4329-99d6-1c20b26cd251)
  )
  (wire (pts (xy 63.5 138.43) (xy 67.31 138.43))
    (stroke (width 0) (type default))
    (uuid 0edb7456-6185-4d83-9464-aab7b2ee84f4)
  )
  (wire (pts (xy 134.62 31.75) (xy 102.87 31.75))
    (stroke (width 0) (type default))
    (uuid 0efe6879-46d6-4a3a-9519-136e63f05f36)
  )
  (wire (pts (xy 173.99 60.96) (xy 166.37 60.96))
    (stroke (width 0) (type default))
    (uuid 0f2814bd-01e2-47d0-965c-c7ec9cf41dc7)
  )
  (wire (pts (xy 161.29 120.65) (xy 191.77 120.65))
    (stroke (width 0) (type default))
    (uuid 0f805e9b-cee6-41f2-8068-fa04d87d99ba)
  )
  (bus (pts (xy 194.31 76.2) (xy 194.31 78.74))
    (stroke (width 0) (type default))
    (uuid 0fa757ec-a649-4004-a182-567afa65baaf)
  )
  (bus (pts (xy 194.31 39.37) (xy 194.31 41.91))
    (stroke (width 0) (type default))
    (uuid 10af00d5-f130-444a-a32d-e82ccd27896c)
  )
  (bus (pts (xy 100.33 71.12) (xy 100.33 73.66))
    (stroke (width 0) (type default))
    (uuid 10f56acb-143e-4efc-bb66-795b5b6b7c95)
  )
  (bus (pts (xy 69.85 146.05) (xy 69.85 148.59))
    (stroke (width 0) (type default))
    (uuid 11781dc4-9533-4d79-a226-9dcf6e3ef1f9)
  )

  (wire (pts (xy 135.89 120.65) (xy 102.87 120.65))
    (stroke (width 0) (type default))
    (uuid 1189ccae-b3ec-437d-a3de-19096958b878)
  )
  (wire (pts (xy 55.88 153.67) (xy 67.31 153.67))
    (stroke (width 0) (type default))
    (uuid 12371fae-924a-400e-b344-1a41878eda27)
  )
  (bus (pts (xy 232.41 17.78) (xy 234.95 17.78))
    (stroke (width 0) (type default))
    (uuid 138341e0-8942-42a3-96da-2de7ad0099c4)
  )
  (bus (pts (xy 100.33 111.76) (xy 100.33 113.03))
    (stroke (width 0) (type default))
    (uuid 13c9b565-cce1-4e73-8c7a-0e2fb328b3a5)
  )
  (bus (pts (xy 100.33 73.66) (xy 100.33 76.2))
    (stroke (width 0) (type default))
    (uuid 1415779c-98d5-405f-98ac-7217461cbd62)
  )

  (wire (pts (xy 44.45 120.65) (xy 67.31 120.65))
    (stroke (width 0) (type default))
    (uuid 14b3c967-9a99-489a-ad59-2a37b04488a0)
  )
  (wire (pts (xy 21.59 52.07) (xy 29.21 52.07))
    (stroke (width 0) (type default))
    (uuid 14f27767-bae3-45cf-a0b1-9c106638db42)
  )
  (wire (pts (xy 46.99 132.08) (xy 21.59 132.08))
    (stroke (width 0) (type default))
    (uuid 16570d3c-f49f-4a98-830d-1b6618623191)
  )
  (wire (pts (xy 161.29 128.27) (xy 191.77 128.27))
    (stroke (width 0) (type default))
    (uuid 1690dc8e-882a-4b51-afeb-0c06f57b737e)
  )
  (wire (pts (xy 102.87 83.82) (xy 161.29 83.82))
    (stroke (width 0) (type default))
    (uuid 173f2e33-a99c-49b1-abb9-cff48a430889)
  )
  (bus (pts (xy 194.31 44.45) (xy 194.31 46.99))
    (stroke (width 0) (type default))
    (uuid 17674230-a7c2-4fcb-9033-9c551079c3f1)
  )

  (wire (pts (xy 82.55 180.34) (xy 26.67 180.34))
    (stroke (width 0) (type default))
    (uuid 1788a748-0022-45f3-829c-b48ec9c43fdd)
  )
  (wire (pts (xy 44.45 44.45) (xy 53.34 44.45))
    (stroke (width 0) (type default))
    (uuid 17993611-1878-4fb5-9f6b-dd04cb191cfa)
  )
  (wire (pts (xy 166.37 60.96) (xy 163.83 60.96))
    (stroke (width 0) (type default))
    (uuid 18485560-77a1-4ed0-b42c-a4d3c79d1475)
  )
  (wire (pts (xy 229.87 35.56) (xy 229.87 48.26))
    (stroke (width 0) (type default))
    (uuid 1865ae61-4d5f-4774-a9f5-9bde9ac5f146)
  )
  (wire (pts (xy 26.67 99.06) (xy 26.67 93.98))
    (stroke (width 0) (type default))
    (uuid 18994fbf-6b4a-42e0-829f-c1a4d8385c22)
  )
  (wire (pts (xy 46.99 147.32) (xy 54.61 147.32))
    (stroke (width 0) (type default))
    (uuid 18fa9be1-76d3-4e7b-a5c9-239bd48f13f6)
  )
  (bus (pts (xy 100.33 44.45) (xy 100.33 50.8))
    (stroke (width 0) (type default))
    (uuid 19f6012e-d4f2-4062-8dae-160eac3632f5)
  )

  (wire (pts (xy 210.82 100.33) (xy 231.14 100.33))
    (stroke (width 0) (type default))
    (uuid 1a6ae812-fe86-409d-816f-b9667b498274)
  )
  (wire (pts (xy 21.59 60.96) (xy 46.99 60.96))
    (stroke (width 0) (type default))
    (uuid 1afab4a5-057c-4736-b3f8-325cfea0b875)
  )
  (wire (pts (xy 238.76 81.28) (xy 238.76 92.71))
    (stroke (width 0) (type default))
    (uuid 1c520804-3124-4a05-9fa4-2fce628d7b01)
  )
  (bus (pts (xy 227.33 17.78) (xy 229.87 17.78))
    (stroke (width 0) (type default))
    (uuid 1c9cabc9-6baa-4d2a-ba4f-8acd7e17d2b6)
  )
  (bus (pts (xy 100.33 118.11) (xy 100.33 120.65))
    (stroke (width 0) (type default))
    (uuid 1cc4e400-839f-4afd-b9fd-b438141802b2)
  )

  (wire (pts (xy 226.06 105.41) (xy 226.06 110.49))
    (stroke (width 0) (type default))
    (uuid 1cd9d965-7f24-4d94-8f24-4dbd5ca8df9b)
  )
  (wire (pts (xy 128.27 25.4) (xy 139.7 25.4))
    (stroke (width 0) (type default))
    (uuid 1cf8ab25-f7ad-416b-a12e-2f0e005b1243)
  )
  (wire (pts (xy 102.87 34.29) (xy 134.62 34.29))
    (stroke (width 0) (type default))
    (uuid 1de1400b-f187-4bb2-ae0e-ae07dc9bc556)
  )
  (bus (pts (xy 280.67 18.034) (xy 293.116 18.034))
    (stroke (width 0) (type default))
    (uuid 1de5b065-5b51-44c1-8ac1-3ba6b39b46fb)
  )

  (wire (pts (xy 161.29 68.58) (xy 102.87 68.58))
    (stroke (width 0) (type default))
    (uuid 1e0ac4f6-71e5-412a-9400-845ed29ee1c1)
  )
  (wire (pts (xy 44.45 115.57) (xy 38.1 115.57))
    (stroke (width 0) (type default))
    (uuid 1e35aa88-c88f-40a3-baf7-280c6cc861c9)
  )
  (bus (pts (xy 69.85 125.73) (xy 69.85 128.27))
    (stroke (width 0) (type default))
    (uuid 1e7b9c6d-a2d5-4f40-8f44-79c2c3513895)
  )

  (wire (pts (xy 237.49 30.48) (xy 237.49 40.64))
    (stroke (width 0) (type default))
    (uuid 1e7f4755-c6df-42b8-b4bd-821d801a4f17)
  )
  (wire (pts (xy 46.99 50.8) (xy 60.96 50.8))
    (stroke (width 0) (type default))
    (uuid 213bb9b9-3ee1-44a7-b498-56bbaeb72270)
  )
  (wire (pts (xy 46.99 43.18) (xy 38.1 43.18))
    (stroke (width 0) (type default))
    (uuid 218acadb-c005-4004-9af4-f943e564f743)
  )
  (wire (pts (xy 107.95 170.18) (xy 134.62 170.18))
    (stroke (width 0) (type default))
    (uuid 23c5610a-3ae5-4cb0-baf6-57e4acb8398d)
  )
  (wire (pts (xy 77.47 76.2) (xy 97.79 76.2))
    (stroke (width 0) (type default))
    (uuid 24128b59-0aee-4a99-a19f-383ccd9bd9da)
  )
  (wire (pts (xy 21.59 96.52) (xy 25.4 96.52))
    (stroke (width 0) (type default))
    (uuid 246f7a03-78b3-49e1-b947-aa80b9526d3f)
  )
  (bus (pts (xy 100.33 114.3) (xy 100.33 115.57))
    (stroke (width 0) (type default))
    (uuid 2558b39d-8dd0-43d1-a163-3469b6ec6a00)
  )
  (bus (pts (xy 26.67 17.78) (xy 196.85 17.78))
    (stroke (width 0) (type default))
    (uuid 25eb7ad8-0890-447d-a726-f47472377d5f)
  )

  (wire (pts (xy 224.79 20.32) (xy 224.79 40.64))
    (stroke (width 0) (type default))
    (uuid 2675caff-a1f0-4c58-acd1-a5d4a77b7eb0)
  )
  (wire (pts (xy 59.69 140.97) (xy 59.69 146.05))
    (stroke (width 0) (type default))
    (uuid 2710034c-468e-4023-8590-3b9b9729c18b)
  )
  (wire (pts (xy 210.82 90.17) (xy 241.3 90.17))
    (stroke (width 0) (type default))
    (uuid 273da89a-236b-4a98-840d-a8c6ec623540)
  )
  (wire (pts (xy 44.45 64.77) (xy 54.61 64.77))
    (stroke (width 0) (type default))
    (uuid 2798cf8a-24b3-4cef-86a7-71a1b315bb2d)
  )
  (bus (pts (xy 100.33 66.04) (xy 100.33 68.58))
    (stroke (width 0) (type default))
    (uuid 27b8778c-306b-4503-b6b4-e93b8dc7b69c)
  )

  (wire (pts (xy 107.95 160.02) (xy 116.84 160.02))
    (stroke (width 0) (type default))
    (uuid 282cc92e-05bf-4511-8e84-3a962c049bce)
  )
  (wire (pts (xy 240.03 27.94) (xy 240.03 38.1))
    (stroke (width 0) (type default))
    (uuid 2867ec5c-54bd-44df-88e6-097d0533f194)
  )
  (bus (pts (xy 223.52 78.74) (xy 226.06 78.74))
    (stroke (width 0) (type default))
    (uuid 290966d4-463d-4221-84f9-78515fa7b725)
  )

  (wire (pts (xy 273.05 45.72) (xy 278.13 45.72))
    (stroke (width 0) (type default))
    (uuid 29f20e7e-d4e8-4bc9-8c31-255dcce82804)
  )
  (wire (pts (xy 237.49 40.64) (xy 247.65 40.64))
    (stroke (width 0) (type default))
    (uuid 2a91202f-9c27-4c85-90e6-fdede31262e5)
  )
  (bus (pts (xy 100.33 76.2) (xy 100.33 78.74))
    (stroke (width 0) (type default))
    (uuid 2b17583d-2d33-4352-b3a6-54fd55d37b83)
  )

  (wire (pts (xy 278.13 48.26) (xy 273.05 48.26))
    (stroke (width 0) (type default))
    (uuid 2b3bf528-54d5-428e-adb5-46c52c319be1)
  )
  (bus (pts (xy 69.85 156.21) (xy 69.85 158.75))
    (stroke (width 0) (type default))
    (uuid 2b529cfc-e559-42bf-85e8-5b45dfbf586c)
  )

  (wire (pts (xy 44.45 54.61) (xy 38.1 54.61))
    (stroke (width 0) (type default))
    (uuid 2bd9e1c8-ded9-4255-bc09-8872e5fab3ce)
  )
  (wire (pts (xy 46.99 121.92) (xy 21.59 121.92))
    (stroke (width 0) (type default))
    (uuid 2bffb6e7-3d60-4092-94bc-65d26bb6c574)
  )
  (wire (pts (xy 114.3 157.48) (xy 107.95 157.48))
    (stroke (width 0) (type default))
    (uuid 2cdbead3-9507-4ff5-a609-3dac5b9aa32a)
  )
  (wire (pts (xy 38.1 130.81) (xy 38.1 146.05))
    (stroke (width 0) (type default))
    (uuid 2cf007d5-9039-4331-82d4-d395b9599552)
  )
  (bus (pts (xy 280.67 45.72) (xy 280.67 48.26))
    (stroke (width 0) (type default))
    (uuid 2d73d3a1-054a-42a2-87d4-711c130577ec)
  )

  (wire (pts (xy 191.77 71.12) (xy 186.69 71.12))
    (stroke (width 0) (type default))
    (uuid 2dfe1fb8-9bd8-43b7-9be1-9881ecbecb76)
  )
  (bus (pts (xy 100.33 107.95) (xy 100.33 109.22))
    (stroke (width 0) (type default))
    (uuid 2e17eda1-3b35-40d5-8067-7118826d223a)
  )

  (wire (pts (xy 135.89 113.03) (xy 102.87 113.03))
    (stroke (width 0) (type default))
    (uuid 2fde6f68-e664-46b5-b6a1-b418058d96d1)
  )
  (wire (pts (xy 38.1 100.33) (xy 38.1 115.57))
    (stroke (width 0) (type default))
    (uuid 3037a833-f9a5-4e9a-b447-ccdc251ef0d2)
  )
  (wire (pts (xy 53.34 130.81) (xy 67.31 130.81))
    (stroke (width 0) (type default))
    (uuid 3049a3ca-ddf2-4d3b-a825-48fbc171922e)
  )
  (wire (pts (xy 44.45 118.11) (xy 67.31 118.11))
    (stroke (width 0) (type default))
    (uuid 30e0db4f-b47b-470b-82d9-2060210b92e4)
  )
  (wire (pts (xy 219.71 120.65) (xy 219.71 63.5))
    (stroke (width 0) (type default))
    (uuid 31426ea4-79c0-436d-bc08-0af29cd88a55)
  )
  (bus (pts (xy 228.6 78.74) (xy 231.14 78.74))
    (stroke (width 0) (type default))
    (uuid 3151a60f-5ace-4c2c-b4b3-c15f07789c8a)
  )

  (wire (pts (xy 97.79 87.63) (xy 44.45 87.63))
    (stroke (width 0) (type default))
    (uuid 317501e9-4db4-4fc8-a430-9b9e7a5060c7)
  )
  (wire (pts (xy 241.3 95.25) (xy 247.65 95.25))
    (stroke (width 0) (type default))
    (uuid 3175526b-7e89-4c92-a279-0c768e1afca1)
  )
  (wire (pts (xy 60.96 50.8) (xy 60.96 39.37))
    (stroke (width 0) (type default))
    (uuid 31d80b50-c04d-409f-8dea-a68fd130a287)
  )
  (wire (pts (xy 107.95 172.72) (xy 137.16 172.72))
    (stroke (width 0) (type default))
    (uuid 31ff9793-24bd-4b4b-b236-79bf3670722c)
  )
  (wire (pts (xy 135.89 110.49) (xy 102.87 110.49))
    (stroke (width 0) (type default))
    (uuid 335d9595-4013-4dc1-8860-3ede0fc4cd6b)
  )
  (wire (pts (xy 186.69 68.58) (xy 191.77 68.58))
    (stroke (width 0) (type default))
    (uuid 340a93bb-fc89-4d99-82db-2f3b0bcef7e4)
  )
  (wire (pts (xy 228.6 102.87) (xy 228.6 107.95))
    (stroke (width 0) (type default))
    (uuid 347fccd6-b501-4946-bc95-adb1e2012972)
  )
  (wire (pts (xy 74.93 78.74) (xy 46.99 78.74))
    (stroke (width 0) (type default))
    (uuid 36c9cebf-1296-4f9b-87f5-f87410a5e8e9)
  )
  (bus (pts (xy 196.85 78.74) (xy 220.98 78.74))
    (stroke (width 0) (type default))
    (uuid 37490f15-70c8-4996-8b2b-38805d43755d)
  )

  (wire (pts (xy 139.7 175.26) (xy 144.78 175.26))
    (stroke (width 0) (type default))
    (uuid 37a30923-26ec-44f6-aeea-0a443a7ac221)
  )
  (bus (pts (xy 226.06 78.74) (xy 228.6 78.74))
    (stroke (width 0) (type default))
    (uuid 389beec0-001b-4974-ab09-3154dce1d13a)
  )

  (wire (pts (xy 160.02 46.99) (xy 191.77 46.99))
    (stroke (width 0) (type default))
    (uuid 38f98f8b-b909-40d3-ac05-046d2331c983)
  )
  (wire (pts (xy 44.45 113.03) (xy 77.47 113.03))
    (stroke (width 0) (type default))
    (uuid 38fc49fd-f14e-46f2-943d-6e088895ea51)
  )
  (bus (pts (xy 280.67 92.71) (xy 280.67 95.25))
    (stroke (width 0) (type default))
    (uuid 3906ed32-c364-4276-881b-1605044115e2)
  )

  (wire (pts (xy 44.45 125.73) (xy 67.31 125.73))
    (stroke (width 0) (type default))
    (uuid 396b385f-6b71-4092-884b-7a5f791b24e8)
  )
  (wire (pts (xy 33.02 43.18) (xy 33.02 49.53))
    (stroke (width 0) (type default))
    (uuid 39d1a34f-4cc8-4d95-87b6-31223dd3eaa8)
  )
  (wire (pts (xy 46.99 53.34) (xy 38.1 53.34))
    (stroke (width 0) (type default))
    (uuid 3c2f7c3b-6069-40c0-901d-961a85051637)
  )
  (wire (pts (xy 223.52 107.95) (xy 223.52 81.28))
    (stroke (width 0) (type default))
    (uuid 3cb01882-c5a3-442e-a6a2-c20ccea52ee4)
  )
  (wire (pts (xy 62.23 140.97) (xy 67.31 140.97))
    (stroke (width 0) (type default))
    (uuid 3cb69a60-54e2-48bd-a1e0-a7fe57cdf446)
  )
  (wire (pts (xy 78.74 114.3) (xy 97.79 114.3))
    (stroke (width 0) (type default))
    (uuid 3e27cdf4-602e-458c-a75d-34c1f2578fab)
  )
  (bus (pts (xy 69.85 171.45) (xy 26.67 171.45))
    (stroke (width 0) (type default))
    (uuid 3e4e2820-54d0-4e71-8f90-ec864e43df3b)
  )
  (bus (pts (xy 100.33 50.8) (xy 100.33 53.34))
    (stroke (width 0) (type default))
    (uuid 3e6da7dd-c13f-40f4-b745-cab0f92f3e87)
  )

  (wire (pts (xy 210.82 95.25) (xy 236.22 95.25))
    (stroke (width 0) (type default))
    (uuid 3e84628e-6892-4433-abb8-fc8cfdb5f70c)
  )
  (wire (pts (xy 80.01 83.82) (xy 46.99 83.82))
    (stroke (width 0) (type default))
    (uuid 407cbd2b-44d1-46f9-a3d6-d66c717ae914)
  )
  (bus (pts (xy 100.33 120.65) (xy 100.33 123.19))
    (stroke (width 0) (type default))
    (uuid 41259965-a169-470a-b465-fa74098f2baa)
  )

  (wire (pts (xy 273.05 53.34) (xy 278.13 53.34))
    (stroke (width 0) (type default))
    (uuid 4181cc13-9ae8-4ed8-9b20-c22ac73c79ac)
  )
  (bus (pts (xy 219.71 17.78) (xy 222.25 17.78))
    (stroke (width 0) (type default))
    (uuid 423bc9f8-007f-41ee-8fff-28086db729f7)
  )
  (bus (pts (xy 69.85 135.89) (xy 69.85 138.43))
    (stroke (width 0) (type default))
    (uuid 42a7e5c7-3cb5-4281-85a0-ec1e77a668de)
  )

  (wire (pts (xy 46.99 63.5) (xy 39.37 63.5))
    (stroke (width 0) (type default))
    (uuid 42ea23d5-e3c4-44e9-b3f2-2c38e81f296e)
  )
  (wire (pts (xy 46.99 119.38) (xy 21.59 119.38))
    (stroke (width 0) (type default))
    (uuid 4362e9f3-b14e-46ed-bb6e-b3621339cd0c)
  )
  (wire (pts (xy 161.29 118.11) (xy 191.77 118.11))
    (stroke (width 0) (type default))
    (uuid 437b7a6b-173b-460f-b80c-dd8c0efe61d7)
  )
  (wire (pts (xy 241.3 90.17) (xy 241.3 95.25))
    (stroke (width 0) (type default))
    (uuid 43945606-e056-4830-b3fa-702a2d9a86f1)
  )
  (wire (pts (xy 229.87 48.26) (xy 247.65 48.26))
    (stroke (width 0) (type default))
    (uuid 44818b88-469d-4ca8-aa75-37e08d44da3c)
  )
  (wire (pts (xy 60.96 143.51) (xy 67.31 143.51))
    (stroke (width 0) (type default))
    (uuid 45b022a0-489c-4300-b121-21386ac59ca4)
  )
  (wire (pts (xy 260.35 87.63) (xy 254 87.63))
    (stroke (width 0) (type default))
    (uuid 45b806fe-5699-4c46-ad43-1336c91b085b)
  )
  (wire (pts (xy 137.16 172.72) (xy 144.78 172.72))
    (stroke (width 0) (type default))
    (uuid 45c2c5cc-ff9c-4a44-bbf5-7012a2f4ff78)
  )
  (wire (pts (xy 247.65 107.95) (xy 228.6 107.95))
    (stroke (width 0) (type default))
    (uuid 45d9df8f-6d44-4961-8a63-bad788298400)
  )
  (wire (pts (xy 124.46 25.4) (xy 128.27 25.4))
    (stroke (width 0) (type default))
    (uuid 45e9e2c3-d73a-4717-80dd-74734113fa1b)
  )
  (bus (pts (xy 280.67 95.25) (xy 280.67 97.79))
    (stroke (width 0) (type default))
    (uuid 46207acf-4380-4459-8c48-d35ae15cf15f)
  )

  (wire (pts (xy 219.71 63.5) (xy 247.65 63.5))
    (stroke (width 0) (type default))
    (uuid 4689eff6-1183-4c5e-b7b7-ef085435892a)
  )
  (wire (pts (xy 209.55 35.56) (xy 229.87 35.56))
    (stroke (width 0) (type default))
    (uuid 472cf1a0-d9ad-4d10-9d74-14b38bccbf0e)
  )
  (wire (pts (xy 53.34 44.45) (xy 53.34 39.37))
    (stroke (width 0) (type default))
    (uuid 47edd260-093f-4a7c-8716-97bec6021c93)
  )
  (wire (pts (xy 38.1 69.85) (xy 38.1 85.09))
    (stroke (width 0) (type default))
    (uuid 48088b05-715d-48c0-b8ce-cc94dde8d150)
  )
  (wire (pts (xy 237.49 20.32) (xy 237.49 30.48))
    (stroke (width 0) (type default))
    (uuid 48117689-4a3e-4636-bf41-92ef59f78bbd)
  )
  (wire (pts (xy 30.48 55.88) (xy 30.48 49.53))
    (stroke (width 0) (type default))
    (uuid 499d58ab-10a5-4201-a2a9-d308fc56c3e4)
  )
  (bus (pts (xy 194.31 130.81) (xy 194.31 147.066))
    (stroke (width 0) (type default))
    (uuid 49af1aab-8d97-4cdf-9292-48d92efecadb)
  )

  (wire (pts (xy 135.89 115.57) (xy 102.87 115.57))
    (stroke (width 0) (type default))
    (uuid 49ff30fc-b9e1-47d6-b741-8cd3e16a62bc)
  )
  (wire (pts (xy 29.21 52.07) (xy 29.21 57.15))
    (stroke (width 0) (type default))
    (uuid 4a68931d-708e-4d02-8de9-6c0dc835b694)
  )
  (bus (pts (xy 100.33 87.63) (xy 100.33 90.17))
    (stroke (width 0) (type default))
    (uuid 4a9326d6-d619-4cc0-bb04-0ed90cdba8a7)
  )

  (wire (pts (xy 186.69 83.82) (xy 191.77 83.82))
    (stroke (width 0) (type default))
    (uuid 4b26af0d-286d-4649-8646-88820b061ca3)
  )
  (wire (pts (xy 46.99 106.68) (xy 97.79 106.68))
    (stroke (width 0) (type default))
    (uuid 4b53ecc5-2654-4591-9c5a-0a60eb450fd5)
  )
  (wire (pts (xy 35.56 77.47) (xy 44.45 77.47))
    (stroke (width 0) (type default))
    (uuid 4c989891-469a-4c57-8941-5b8a43359534)
  )
  (wire (pts (xy 38.1 43.18) (xy 38.1 53.34))
    (stroke (width 0) (type default))
    (uuid 4e1bbd35-c337-4b1b-a5c7-18f1a83f1f8a)
  )
  (wire (pts (xy 69.85 74.93) (xy 69.85 68.58))
    (stroke (width 0) (type default))
    (uuid 4e7c6d1d-8fdc-424c-8e29-ec52404921f8)
  )
  (wire (pts (xy 46.99 111.76) (xy 78.74 111.76))
    (stroke (width 0) (type default))
    (uuid 4eb8a44e-4938-4858-a315-61d7d0af5748)
  )
  (wire (pts (xy 46.99 45.72) (xy 53.34 45.72))
    (stroke (width 0) (type default))
    (uuid 4ee99520-1421-4188-bce0-ef1719005b9b)
  )
  (bus (pts (xy 100.33 104.14) (xy 100.33 106.68))
    (stroke (width 0) (type default))
    (uuid 500e7e24-b32c-4202-acab-0aa12f8fe6df)
  )

  (wire (pts (xy 191.77 86.36) (xy 186.69 86.36))
    (stroke (width 0) (type default))
    (uuid 5094d439-ffa7-4193-836d-381c6cc87249)
  )
  (wire (pts (xy 44.45 107.95) (xy 21.59 107.95))
    (stroke (width 0) (type default))
    (uuid 50d2f3eb-1432-4044-9bbd-70982dcb4a9b)
  )
  (bus (pts (xy 222.25 17.78) (xy 224.79 17.78))
    (stroke (width 0) (type default))
    (uuid 51fcebaa-7358-4a32-b55a-680ebc8bc262)
  )

  (wire (pts (xy 59.69 146.05) (xy 67.31 146.05))
    (stroke (width 0) (type default))
    (uuid 522c8197-a87b-4b93-87d3-982cffebcc00)
  )
  (wire (pts (xy 135.89 128.27) (xy 102.87 128.27))
    (stroke (width 0) (type default))
    (uuid 527c8fbc-1785-493d-afed-9cfaabca2441)
  )
  (wire (pts (xy 31.75 88.9) (xy 31.75 80.01))
    (stroke (width 0) (type default))
    (uuid 53892307-9ccc-4fb7-bbce-188156d39b93)
  )
  (wire (pts (xy 46.99 81.28) (xy 77.47 81.28))
    (stroke (width 0) (type default))
    (uuid 5422a555-8fba-4687-8c1a-384fba1e0806)
  )
  (wire (pts (xy 209.55 25.4) (xy 234.95 25.4))
    (stroke (width 0) (type default))
    (uuid 5424bea8-370e-435d-89a1-d615dfa5ae5a)
  )
  (wire (pts (xy 74.93 73.66) (xy 97.79 73.66))
    (stroke (width 0) (type default))
    (uuid 551ced31-a831-4265-b443-ebb6be5a3414)
  )
  (bus (pts (xy 194.31 86.36) (xy 194.31 88.9))
    (stroke (width 0) (type default))
    (uuid 552583f7-9245-4522-9107-0845e375e349)
  )

  (wire (pts (xy 209.55 30.48) (xy 237.49 30.48))
    (stroke (width 0) (type default))
    (uuid 553a58fa-b8b5-4c79-9be8-0d16e800145c)
  )
  (bus (pts (xy 69.85 140.97) (xy 69.85 143.51))
    (stroke (width 0) (type default))
    (uuid 5589421f-370c-45b8-9650-0f5d33396d49)
  )

  (wire (pts (xy 134.62 39.37) (xy 102.87 39.37))
    (stroke (width 0) (type default))
    (uuid 5633dcdb-bcc6-40a9-ba37-39de49080cc3)
  )
  (wire (pts (xy 62.23 71.12) (xy 62.23 60.96))
    (stroke (width 0) (type default))
    (uuid 566dbfa9-a648-4025-96c9-30e985cabda4)
  )
  (wire (pts (xy 233.68 97.79) (xy 233.68 102.87))
    (stroke (width 0) (type default))
    (uuid 57546a06-586e-4f07-9009-297b7e95555a)
  )
  (wire (pts (xy 21.59 45.72) (xy 31.75 45.72))
    (stroke (width 0) (type default))
    (uuid 57e0ed33-b5f0-4ff5-b6ac-d66d96393e6c)
  )
  (wire (pts (xy 232.41 20.32) (xy 232.41 33.02))
    (stroke (width 0) (type default))
    (uuid 58fd9237-8161-41c9-ab07-1044d13dd7c7)
  )
  (wire (pts (xy 266.7 30.48) (xy 269.24 30.48))
    (stroke (width 0) (type default))
    (uuid 59686c43-564b-401d-836a-9ac84665a4f1)
  )
  (bus (pts (xy 100.33 63.5) (xy 100.33 66.04))
    (stroke (width 0) (type default))
    (uuid 5989288c-d2e5-4735-a188-544578e14bb6)
  )

  (wire (pts (xy 44.45 140.97) (xy 59.69 140.97))
    (stroke (width 0) (type default))
    (uuid 5a7ca141-98f8-42d7-9629-df322ecc396c)
  )
  (wire (pts (xy 273.05 113.03) (xy 278.13 113.03))
    (stroke (width 0) (type default))
    (uuid 5b7c5eb3-3e81-441a-9dfe-23f0366beb25)
  )
  (wire (pts (xy 77.47 113.03) (xy 77.47 116.84))
    (stroke (width 0) (type default))
    (uuid 5b90b242-27b5-448b-94da-75f6d158ffd3)
  )
  (wire (pts (xy 227.33 20.32) (xy 227.33 38.1))
    (stroke (width 0) (type default))
    (uuid 5ccbf87d-4d50-4f30-a4cd-a18a7ccd6161)
  )
  (bus (pts (xy 69.85 153.67) (xy 69.85 156.21))
    (stroke (width 0) (type default))
    (uuid 5d10a111-767d-4ec9-99af-9e3812096fb9)
  )

  (wire (pts (xy 35.56 72.39) (xy 35.56 77.47))
    (stroke (width 0) (type default))
    (uuid 5e77b90d-7833-4dad-b264-8efd3e0ba96f)
  )
  (wire (pts (xy 147.32 64.77) (xy 138.43 64.77))
    (stroke (width 0) (type default))
    (uuid 5e90f1c3-15d4-4e05-a845-f8d1f8ac8446)
  )
  (wire (pts (xy 59.69 68.58) (xy 46.99 68.58))
    (stroke (width 0) (type default))
    (uuid 5f71f066-9ba3-4b52-b8e2-284094701e60)
  )
  (wire (pts (xy 132.08 167.64) (xy 144.78 167.64))
    (stroke (width 0) (type default))
    (uuid 5fbf4a1c-04f5-4028-8438-1c159efcc563)
  )
  (wire (pts (xy 21.59 105.41) (xy 44.45 105.41))
    (stroke (width 0) (type default))
    (uuid 5fe0a05f-4f40-4603-8209-fc0981c8c583)
  )
  (wire (pts (xy 63.5 137.16) (xy 63.5 138.43))
    (stroke (width 0) (type default))
    (uuid 5ffd4da0-8e45-40a4-b40f-d06e62570674)
  )
  (wire (pts (xy 44.45 133.35) (xy 53.34 133.35))
    (stroke (width 0) (type default))
    (uuid 60184e0c-b0d8-4a30-b309-98b2d52a0cb3)
  )
  (wire (pts (xy 160.02 41.91) (xy 191.77 41.91))
    (stroke (width 0) (type default))
    (uuid 614b6887-3a48-4eff-8a15-1d12b2580cb8)
  )
  (wire (pts (xy 107.95 175.26) (xy 139.7 175.26))
    (stroke (width 0) (type default))
    (uuid 61d6e9f0-9547-4cbf-a68b-c13926018935)
  )
  (bus (pts (xy 100.33 110.49) (xy 100.33 111.76))
    (stroke (width 0) (type default))
    (uuid 61dfd27b-73c1-4d76-8406-f1fc77b35286)
  )

  (wire (pts (xy 46.99 129.54) (xy 21.59 129.54))
    (stroke (width 0) (type default))
    (uuid 62c77c21-b6ac-43e2-8162-895d7c3949e5)
  )
  (bus (pts (xy 196.85 17.78) (xy 219.71 17.78))
    (stroke (width 0) (type default))
    (uuid 62d6889c-1054-45fb-aa57-38a8f439afa6)
  )

  (wire (pts (xy 210.82 105.41) (xy 226.06 105.41))
    (stroke (width 0) (type default))
    (uuid 63af5e88-f514-4caf-a730-8ed7a468cc04)
  )
  (wire (pts (xy 215.9 118.11) (xy 247.65 118.11))
    (stroke (width 0) (type default))
    (uuid 644b4fcf-2336-4ed2-b628-46c8c6020d4e)
  )
  (wire (pts (xy 29.21 85.09) (xy 21.59 85.09))
    (stroke (width 0) (type default))
    (uuid 64c5054a-9321-4798-86f1-7662dc672bf1)
  )
  (wire (pts (xy 226.06 81.28) (xy 226.06 105.41))
    (stroke (width 0) (type default))
    (uuid 653fec32-e795-4dc5-b8d4-da6bb06f39ab)
  )
  (wire (pts (xy 82.55 81.28) (xy 97.79 81.28))
    (stroke (width 0) (type default))
    (uuid 66fed561-8279-43fe-888c-1b25022a6a2a)
  )
  (wire (pts (xy 46.99 86.36) (xy 82.55 86.36))
    (stroke (width 0) (type default))
    (uuid 67d55d73-5e70-4dd8-ae8d-046116346249)
  )
  (wire (pts (xy 21.59 82.55) (xy 30.48 82.55))
    (stroke (width 0) (type default))
    (uuid 68872575-65db-4164-bdb4-e30c3fb73bcb)
  )
  (wire (pts (xy 119.38 162.56) (xy 144.78 162.56))
    (stroke (width 0) (type default))
    (uuid 68a47e9e-f87c-42a0-8f78-feed34584c7d)
  )
  (wire (pts (xy 46.99 142.24) (xy 58.42 142.24))
    (stroke (width 0) (type default))
    (uuid 69091587-3e40-4408-9d59-1b8c53b7ff98)
  )
  (wire (pts (xy 80.01 110.49) (xy 80.01 111.76))
    (stroke (width 0) (type default))
    (uuid 692a150a-0387-4c5b-83b2-b4091dd7f62d)
  )
  (wire (pts (xy 173.99 105.41) (xy 173.99 101.6))
    (stroke (width 0) (type default))
    (uuid 6959bfbd-d934-4359-8c17-11f12fc331db)
  )
  (wire (pts (xy 161.29 123.19) (xy 191.77 123.19))
    (stroke (width 0) (type default))
    (uuid 698431c1-059b-4938-bf95-1e291f166c7c)
  )
  (wire (pts (xy 74.93 78.74) (xy 74.93 73.66))
    (stroke (width 0) (type default))
    (uuid 69f5c566-9b82-455a-8b14-04db5ee1b7cb)
  )
  (bus (pts (xy 69.85 133.35) (xy 69.85 135.89))
    (stroke (width 0) (type default))
    (uuid 6a4cadf7-1c23-4f5c-9064-79e684567644)
  )

  (wire (pts (xy 54.61 156.21) (xy 67.31 156.21))
    (stroke (width 0) (type default))
    (uuid 6ad12fee-a017-45ed-abae-09255239c0b4)
  )
  (wire (pts (xy 199.39 107.95) (xy 200.66 107.95))
    (stroke (width 0) (type default))
    (uuid 6b130cf4-865e-4e8c-b55d-9fe95e17a4f5)
  )
  (wire (pts (xy 260.35 30.48) (xy 266.7 30.48))
    (stroke (width 0) (type default))
    (uuid 6ba1c099-398a-4a76-bd76-47d8745343fa)
  )
  (wire (pts (xy 21.59 91.44) (xy 27.94 91.44))
    (stroke (width 0) (type default))
    (uuid 6ed38d1a-54b8-44b2-ae88-59b57ff62265)
  )
  (wire (pts (xy 219.71 120.65) (xy 219.71 136.398))
    (stroke (width 0) (type default))
    (uuid 6fa41f46-54c6-4d73-b5b1-3f6ac653fc9d)
  )
  (wire (pts (xy 30.48 91.44) (xy 46.99 91.44))
    (stroke (width 0) (type default))
    (uuid 6fddf102-8a71-4193-803f-f0d70bee28bc)
  )
  (wire (pts (xy 238.76 92.71) (xy 238.76 97.79))
    (stroke (width 0) (type default))
    (uuid 705c7a4d-2f41-4c78-aea3-fbeecd927f6e)
  )
  (wire (pts (xy 210.82 92.71) (xy 238.76 92.71))
    (stroke (width 0) (type default))
    (uuid 70816d51-1213-4df2-aa80-afa8ae5b9819)
  )
  (wire (pts (xy 241.3 81.28) (xy 241.3 90.17))
    (stroke (width 0) (type default))
    (uuid 708600b7-0791-49f4-b84c-f95d92ba3193)
  )
  (wire (pts (xy 38.1 146.05) (xy 38.1 158.75))
    (stroke (width 0) (type default))
    (uuid 70ce4868-9b19-4638-8857-4fa07e88f802)
  )
  (wire (pts (xy 157.48 105.41) (xy 165.1 105.41))
    (stroke (width 0) (type default))
    (uuid 71985494-f382-4876-afb1-77678f72a543)
  )
  (bus (pts (xy 194.31 118.11) (xy 194.31 120.65))
    (stroke (width 0) (type default))
    (uuid 71eeded7-611f-49ce-bb08-33062c9a7e4b)
  )
  (bus (pts (xy 194.31 113.03) (xy 194.31 115.57))
    (stroke (width 0) (type default))
    (uuid 7207bc36-821f-41b6-b375-cf65dd187d64)
  )

  (wire (pts (xy 46.99 40.64) (xy 38.1 40.64))
    (stroke (width 0) (type default))
    (uuid 73194fd9-6341-4c53-bcf1-3b2bea9f1e76)
  )
  (bus (pts (xy 100.33 53.34) (xy 100.33 55.88))
    (stroke (width 0) (type default))
    (uuid 744030bc-b86e-4c34-9886-2037943815ab)
  )

  (wire (pts (xy 39.37 63.5) (xy 39.37 66.04))
    (stroke (width 0) (type default))
    (uuid 74715fa6-0a38-4591-938d-9a0ba9a5ae2c)
  )
  (wire (pts (xy 46.99 88.9) (xy 31.75 88.9))
    (stroke (width 0) (type default))
    (uuid 75ac8a78-dc31-461b-9a72-5a22aef45521)
  )
  (bus (pts (xy 194.31 34.29) (xy 194.31 36.83))
    (stroke (width 0) (type default))
    (uuid 7674880b-f259-41a3-80c7-25f38b2ee30c)
  )
  (bus (pts (xy 100.33 29.21) (xy 100.33 31.75))
    (stroke (width 0) (type default))
    (uuid 76c59923-56fb-49e7-85cc-454f2771f7d5)
  )

  (wire (pts (xy 46.99 66.04) (xy 40.64 66.04))
    (stroke (width 0) (type default))
    (uuid 77d128d2-de75-492f-9de6-b5266a40d38c)
  )
  (wire (pts (xy 21.59 72.39) (xy 35.56 72.39))
    (stroke (width 0) (type default))
    (uuid 77e4f80f-c75a-4a83-90b9-4d1b09ad7647)
  )
  (bus (pts (xy 100.33 101.6) (xy 100.33 104.14))
    (stroke (width 0) (type default))
    (uuid 780f2939-59f7-43db-8492-3d578e73a9c0)
  )

  (wire (pts (xy 44.45 102.87) (xy 21.59 102.87))
    (stroke (width 0) (type default))
    (uuid 789ec89d-f372-43c6-8260-532b82394e54)
  )
  (wire (pts (xy 62.23 60.96) (xy 97.79 60.96))
    (stroke (width 0) (type default))
    (uuid 791105b9-7130-4b6f-9480-01bf6f50dfe6)
  )
  (wire (pts (xy 148.59 102.87) (xy 152.4 102.87))
    (stroke (width 0) (type default))
    (uuid 79c3d332-b6f4-4538-97c7-f5deb632b6fe)
  )
  (wire (pts (xy 134.62 44.45) (xy 102.87 44.45))
    (stroke (width 0) (type default))
    (uuid 7a37be37-86bf-4a3c-8bfe-e320758333b4)
  )
  (wire (pts (xy 34.29 74.93) (xy 34.29 80.01))
    (stroke (width 0) (type default))
    (uuid 7b363fd7-46a1-4a0b-95a9-b178794954a4)
  )
  (bus (pts (xy 194.31 49.53) (xy 194.31 71.12))
    (stroke (width 0) (type default))
    (uuid 7cc6d808-5beb-4164-8731-28429d6a7e9f)
  )

  (wire (pts (xy 59.69 58.42) (xy 97.79 58.42))
    (stroke (width 0) (type default))
    (uuid 7e3f574e-5465-4ab3-a547-99ef540a3975)
  )
  (wire (pts (xy 44.45 41.91) (xy 38.1 41.91))
    (stroke (width 0) (type default))
    (uuid 7e570b01-05ff-4b04-a52f-0bdb3e569a8d)
  )
  (bus (pts (xy 100.33 109.22) (xy 100.33 110.49))
    (stroke (width 0) (type default))
    (uuid 7eae38aa-919d-4841-b41b-8257a8647d33)
  )

  (wire (pts (xy 234.95 25.4) (xy 234.95 43.18))
    (stroke (width 0) (type default))
    (uuid 7f80ac67-99a5-491e-8f62-b292e0099695)
  )
  (wire (pts (xy 247.65 53.34) (xy 224.79 53.34))
    (stroke (width 0) (type default))
    (uuid 800db543-051c-40aa-a43a-60fea65d46c2)
  )
  (wire (pts (xy 132.08 147.32) (xy 132.08 143.51))
    (stroke (width 0) (type default))
    (uuid 802f6f76-6b3b-4d99-a3c3-790e7baf531c)
  )
  (wire (pts (xy 21.59 43.18) (xy 33.02 43.18))
    (stroke (width 0) (type default))
    (uuid 80f094f4-5f64-41ae-9ffd-0c7dd8f1df54)
  )
  (wire (pts (xy 240.03 38.1) (xy 247.65 38.1))
    (stroke (width 0) (type default))
    (uuid 810c9524-ef5d-43b5-a191-fb4d87f06333)
  )
  (bus (pts (xy 194.31 120.65) (xy 194.31 123.19))
    (stroke (width 0) (type default))
    (uuid 821ddc68-8b9c-4cf3-a370-9075a8c59052)
  )

  (wire (pts (xy 152.4 105.41) (xy 157.48 105.41))
    (stroke (width 0) (type default))
    (uuid 826e3103-a7b6-48ab-b6fb-b2a20790463f)
  )
  (wire (pts (xy 27.94 68.58) (xy 27.94 69.85))
    (stroke (width 0) (type default))
    (uuid 835f43e9-9d8f-4798-95cd-51709ea3b555)
  )
  (bus (pts (xy 100.33 113.03) (xy 100.33 114.3))
    (stroke (width 0) (type default))
    (uuid 839b070c-04d4-4538-a828-ef699e59c83f)
  )

  (wire (pts (xy 44.45 143.51) (xy 57.15 143.51))
    (stroke (width 0) (type default))
    (uuid 83ff81b1-b884-4e91-a803-e2eaaed56c93)
  )
  (bus (pts (xy 234.95 17.78) (xy 237.49 17.78))
    (stroke (width 0) (type default))
    (uuid 84b02397-326d-41a6-9611-ad2655e36ec1)
  )

  (wire (pts (xy 21.59 74.93) (xy 34.29 74.93))
    (stroke (width 0) (type default))
    (uuid 856d8692-3200-447d-b45a-92544c9c76d9)
  )
  (bus (pts (xy 194.31 88.9) (xy 194.31 113.03))
    (stroke (width 0) (type default))
    (uuid 85e713b7-bb54-447b-94d3-ed7ba6e20f9b)
  )

  (wire (pts (xy 247.65 43.18) (xy 234.95 43.18))
    (stroke (width 0) (type default))
    (uuid 8684e36b-4589-44d8-80f9-9060024a5694)
  )
  (wire (pts (xy 135.89 125.73) (xy 102.87 125.73))
    (stroke (width 0) (type default))
    (uuid 8710fdc8-9f0d-447c-9a94-411640ffde89)
  )
  (wire (pts (xy 26.67 58.42) (xy 21.59 58.42))
    (stroke (width 0) (type default))
    (uuid 87bdd359-aa27-4e99-bf14-4c27f864ae61)
  )
  (wire (pts (xy 273.05 97.79) (xy 278.13 97.79))
    (stroke (width 0) (type default))
    (uuid 882f362e-75c1-4649-8d46-cf67ab6ced05)
  )
  (wire (pts (xy 39.37 66.04) (xy 21.59 66.04))
    (stroke (width 0) (type default))
    (uuid 884f688c-c660-436e-8621-db4d0592c69a)
  )
  (wire (pts (xy 161.29 125.73) (xy 191.77 125.73))
    (stroke (width 0) (type default))
    (uuid 8854930c-b769-4331-a978-7708195a51ab)
  )
  (wire (pts (xy 54.61 147.32) (xy 54.61 156.21))
    (stroke (width 0) (type default))
    (uuid 8945fca4-a1dd-498c-aeb4-e10f77c37147)
  )
  (wire (pts (xy 278.13 55.88) (xy 273.05 55.88))
    (stroke (width 0) (type default))
    (uuid 897c8b73-1395-432c-8956-c2fc959a6ddc)
  )
  (wire (pts (xy 62.23 71.12) (xy 46.99 71.12))
    (stroke (width 0) (type default))
    (uuid 8a064936-6615-434d-ae51-1acd95413e5d)
  )
  (wire (pts (xy 138.43 64.77) (xy 138.43 62.23))
    (stroke (width 0) (type default))
    (uuid 8a2bfb0c-9bcf-440e-803b-233ce4d3bb8f)
  )
  (wire (pts (xy 44.45 85.09) (xy 38.1 85.09))
    (stroke (width 0) (type default))
    (uuid 8abf4583-9be0-4403-a694-004ab7a2677e)
  )
  (bus (pts (xy 100.33 41.91) (xy 100.33 44.45))
    (stroke (width 0) (type default))
    (uuid 8ae327b6-a622-49e6-896e-4ac75f370e9f)
  )

  (wire (pts (xy 44.45 100.33) (xy 38.1 100.33))
    (stroke (width 0) (type default))
    (uuid 8b6f28a0-0ff5-4f5b-9e66-53a93a1340e0)
  )
  (wire (pts (xy 236.22 81.28) (xy 236.22 95.25))
    (stroke (width 0) (type default))
    (uuid 8b8785f5-25ea-444f-9575-22f1e4047760)
  )
  (bus (pts (xy 100.33 31.75) (xy 100.33 34.29))
    (stroke (width 0) (type default))
    (uuid 8c3ca9c9-ae26-4e72-888b-5f546e1153ab)
  )

  (wire (pts (xy 107.95 162.56) (xy 119.38 162.56))
    (stroke (width 0) (type default))
    (uuid 8c824b37-5c80-45e4-b717-c609a2735df8)
  )
  (bus (pts (xy 69.85 158.75) (xy 69.85 171.45))
    (stroke (width 0) (type default))
    (uuid 8d39a5ac-fa40-48be-9ede-147cfa4db9bb)
  )

  (wire (pts (xy 232.41 33.02) (xy 232.41 45.72))
    (stroke (width 0) (type default))
    (uuid 8d9c63e3-a531-4657-b4ed-14bb978ef825)
  )
  (wire (pts (xy 209.55 38.1) (xy 227.33 38.1))
    (stroke (width 0) (type default))
    (uuid 8de9b944-a5d8-420d-848c-299e7f76401c)
  )
  (wire (pts (xy 121.92 153.67) (xy 121.92 165.1))
    (stroke (width 0) (type default))
    (uuid 8e1c4103-18fa-4ebf-9bac-8d2b20e765ab)
  )
  (wire (pts (xy 38.1 53.34) (xy 38.1 54.61))
    (stroke (width 0) (type default))
    (uuid 8ee1204b-5f80-43a6-99fd-d62e5d09b2c1)
  )
  (wire (pts (xy 53.34 133.35) (xy 53.34 130.81))
    (stroke (width 0) (type default))
    (uuid 8f3e0566-902b-4434-87af-476ae6f09103)
  )
  (wire (pts (xy 36.83 62.23) (xy 44.45 62.23))
    (stroke (width 0) (type default))
    (uuid 8f83e301-d135-4f2d-94a2-d6cb294c8f25)
  )
  (wire (pts (xy 134.62 157.48) (xy 134.62 170.18))
    (stroke (width 0) (type default))
    (uuid 8fc245f7-0105-4f05-a0a9-1092bfd657d2)
  )
  (wire (pts (xy 62.23 138.43) (xy 62.23 140.97))
    (stroke (width 0) (type default))
    (uuid 90285e89-1e8d-4ad7-9a94-2c8d39808775)
  )
  (wire (pts (xy 215.9 118.11) (xy 215.9 141.986))
    (stroke (width 0) (type default))
    (uuid 902c7118-13e7-429d-a343-12ca4d03582b)
  )
  (bus (pts (xy 69.85 151.13) (xy 69.85 153.67))
    (stroke (width 0) (type default))
    (uuid 9075b1e5-8967-48c5-b028-58473153e18b)
  )

  (wire (pts (xy 46.99 137.16) (xy 63.5 137.16))
    (stroke (width 0) (type default))
    (uuid 90defbdf-430c-4b00-86a9-0a1ab5cda47c)
  )
  (wire (pts (xy 58.42 148.59) (xy 67.31 148.59))
    (stroke (width 0) (type default))
    (uuid 90f07968-01c2-47d4-b73f-679ebcc63afc)
  )
  (wire (pts (xy 36.83 63.5) (xy 36.83 62.23))
    (stroke (width 0) (type default))
    (uuid 9161fe5c-90a4-46d2-ab3f-9516096d0e6c)
  )
  (wire (pts (xy 27.94 58.42) (xy 27.94 54.61))
    (stroke (width 0) (type default))
    (uuid 922e998c-f916-4fe1-8335-12a7a16cc516)
  )
  (wire (pts (xy 77.47 81.28) (xy 77.47 76.2))
    (stroke (width 0) (type default))
    (uuid 92c42806-42c5-49be-9019-f291cfe5e2c9)
  )
  (bus (pts (xy 100.33 106.68) (xy 100.33 107.95))
    (stroke (width 0) (type default))
    (uuid 936a0228-cf58-41fa-8b14-3fed62291d92)
  )

  (wire (pts (xy 54.61 64.77) (xy 54.61 53.34))
    (stroke (width 0) (type default))
    (uuid 93fbc250-6e05-494c-9574-49b67495b4c9)
  )
  (wire (pts (xy 138.43 62.23) (xy 134.62 62.23))
    (stroke (width 0) (type default))
    (uuid 9463562e-d7b7-49cd-9646-c20401695207)
  )
  (wire (pts (xy 209.55 43.18) (xy 222.25 43.18))
    (stroke (width 0) (type default))
    (uuid 9555a69a-f78e-4420-9f5f-94bec582a803)
  )
  (wire (pts (xy 209.55 27.94) (xy 240.03 27.94))
    (stroke (width 0) (type default))
    (uuid 958adcaa-a51c-48ef-b15f-be05ec58830e)
  )
  (wire (pts (xy 27.94 91.44) (xy 27.94 96.52))
    (stroke (width 0) (type default))
    (uuid 95ab98cd-9c66-4c9a-8216-2050f6237f98)
  )
  (bus (pts (xy 194.31 73.66) (xy 194.31 76.2))
    (stroke (width 0) (type default))
    (uuid 9640be80-1699-41db-a7c6-fd1d847a0393)
  )

  (wire (pts (xy 82.55 86.36) (xy 82.55 81.28))
    (stroke (width 0) (type default))
    (uuid 98220764-ed5e-40f8-8708-70a41c14e94a)
  )
  (wire (pts (xy 21.59 77.47) (xy 33.02 77.47))
    (stroke (width 0) (type default))
    (uuid 98dfa667-8318-4010-8651-0a7492df8549)
  )
  (wire (pts (xy 186.69 73.66) (xy 191.77 73.66))
    (stroke (width 0) (type default))
    (uuid 99a0c51e-183e-498c-933c-4351ffa71eea)
  )
  (wire (pts (xy 33.02 49.53) (xy 44.45 49.53))
    (stroke (width 0) (type default))
    (uuid 9a83da17-8ab0-4c3a-9bb0-c61f41cdad73)
  )
  (wire (pts (xy 27.94 96.52) (xy 46.99 96.52))
    (stroke (width 0) (type default))
    (uuid 9ab6520f-5b9b-4754-a206-c8d5069befdb)
  )
  (wire (pts (xy 111.76 153.67) (xy 82.55 153.67))
    (stroke (width 0) (type default))
    (uuid 9acd605a-aa03-49ed-97e6-62f4a6facf24)
  )
  (wire (pts (xy 44.45 128.27) (xy 67.31 128.27))
    (stroke (width 0) (type default))
    (uuid 9b36e647-1219-4979-a6c2-d5b1213a4da3)
  )
  (bus (pts (xy 194.31 71.12) (xy 194.31 73.66))
    (stroke (width 0) (type default))
    (uuid 9b4b5aa9-6b0e-46c4-971a-17c9a09b5ae2)
  )

  (wire (pts (xy 44.45 97.79) (xy 97.79 97.79))
    (stroke (width 0) (type default))
    (uuid 9b8854ed-8d79-4f29-98a4-670f6ff68a6e)
  )
  (wire (pts (xy 38.1 85.09) (xy 38.1 100.33))
    (stroke (width 0) (type default))
    (uuid 9c761007-7866-42b8-90ce-90863eea1b7d)
  )
  (wire (pts (xy 27.94 69.85) (xy 21.59 69.85))
    (stroke (width 0) (type default))
    (uuid 9c9430aa-6f82-4443-a8f0-ab581b193276)
  )
  (wire (pts (xy 134.62 62.23) (xy 134.62 57.15))
    (stroke (width 0) (type default))
    (uuid 9d3fa4f0-b3de-4e53-a7a8-42177a89dec2)
  )
  (wire (pts (xy 240.03 20.32) (xy 240.03 27.94))
    (stroke (width 0) (type default))
    (uuid 9e10e8a9-8893-47a0-8000-94e2edce4fb9)
  )
  (wire (pts (xy 97.79 92.71) (xy 44.45 92.71))
    (stroke (width 0) (type default))
    (uuid 9f4163c1-3d8d-422f-abb9-28d07150bcbd)
  )
  (bus (pts (xy 194.31 128.27) (xy 194.31 130.81))
    (stroke (width 0) (type default))
    (uuid 9f44c1d5-0a62-470a-9e16-4dc23f9130e3)
  )
  (bus (pts (xy 100.33 34.29) (xy 100.33 36.83))
    (stroke (width 0) (type default))
    (uuid 9fbfe376-4c80-4876-9edc-c3fbc3ae1d16)
  )

  (wire (pts (xy 27.94 54.61) (xy 21.59 54.61))
    (stroke (width 0) (type default))
    (uuid a02cf5cd-7d0e-48dd-b57c-0ee6cc9878f4)
  )
  (wire (pts (xy 57.15 143.51) (xy 57.15 151.13))
    (stroke (width 0) (type default))
    (uuid a12d2ebc-dc5a-4f51-9bb1-92754e993c21)
  )
  (wire (pts (xy 191.77 81.28) (xy 186.69 81.28))
    (stroke (width 0) (type default))
    (uuid a23193e2-0605-49e8-b6d0-d20eb0108091)
  )
  (bus (pts (xy 100.33 83.82) (xy 100.33 85.09))
    (stroke (width 0) (type default))
    (uuid a2a54b7f-13c7-4a5e-9a1e-69f95d865638)
  )

  (wire (pts (xy 44.45 123.19) (xy 67.31 123.19))
    (stroke (width 0) (type default))
    (uuid a2c162e7-19e6-4783-afeb-b9b9e2a5fec4)
  )
  (wire (pts (xy 215.9 141.986) (xy 294.894 141.986))
    (stroke (width 0) (type default))
    (uuid a36438de-7bc0-401b-abd4-4492332bad04)
  )
  (wire (pts (xy 34.29 46.99) (xy 34.29 40.64))
    (stroke (width 0) (type default))
    (uuid a3986c09-be1f-40c9-8a17-108e832f87d5)
  )
  (wire (pts (xy 273.05 38.1) (xy 278.13 38.1))
    (stroke (width 0) (type default))
    (uuid a3a06bda-5c9f-4e7e-9489-0376a49c5274)
  )
  (bus (pts (xy 194.31 83.82) (xy 194.31 86.36))
    (stroke (width 0) (type default))
    (uuid a4d27c3d-7d33-46e6-abb8-1abbcf727a01)
  )
  (bus (pts (xy 100.33 92.71) (xy 100.33 95.25))
    (stroke (width 0) (type default))
    (uuid a5247583-acb8-4a35-aff1-25cf5aef6e9d)
  )

  (wire (pts (xy 67.31 73.66) (xy 67.31 66.04))
    (stroke (width 0) (type default))
    (uuid a54a2c23-3865-4c1d-99bf-22d7f1538022)
  )
  (bus (pts (xy 194.31 115.57) (xy 194.31 118.11))
    (stroke (width 0) (type default))
    (uuid a60f8981-17dd-4237-86f9-e01b038fbe1b)
  )

  (wire (pts (xy 231.14 105.41) (xy 247.65 105.41))
    (stroke (width 0) (type default))
    (uuid a62c6d1b-bffd-4d11-a666-a15f3f4bb67c)
  )
  (wire (pts (xy 31.75 45.72) (xy 31.75 52.07))
    (stroke (width 0) (type default))
    (uuid a686456b-d4ee-4987-9d35-453e45093bfe)
  )
  (bus (pts (xy 194.31 41.91) (xy 194.31 44.45))
    (stroke (width 0) (type default))
    (uuid a8a98c28-9be8-42dc-9bb2-9adfe16e5aac)
  )

  (wire (pts (xy 30.48 82.55) (xy 30.48 91.44))
    (stroke (width 0) (type default))
    (uuid a923a0d4-2bea-4a5e-8c91-0a272dc3b88f)
  )
  (wire (pts (xy 72.39 76.2) (xy 46.99 76.2))
    (stroke (width 0) (type default))
    (uuid a9f1e577-dbcc-45d0-8a6e-85bafb729a4c)
  )
  (wire (pts (xy 247.65 102.87) (xy 233.68 102.87))
    (stroke (width 0) (type default))
    (uuid aa4a9065-fabe-4860-9130-8b0327c05ee4)
  )
  (wire (pts (xy 247.65 97.79) (xy 238.76 97.79))
    (stroke (width 0) (type default))
    (uuid aa4cd049-81b4-488e-8655-df98fc0a7321)
  )
  (wire (pts (xy 80.01 83.82) (xy 80.01 78.74))
    (stroke (width 0) (type default))
    (uuid aa7dd504-1950-471e-a229-3ea6ba538d33)
  )
  (wire (pts (xy 161.29 73.66) (xy 102.87 73.66))
    (stroke (width 0) (type default))
    (uuid aaa93dd7-fa5b-4d48-9926-8961c2d4116d)
  )
  (wire (pts (xy 233.68 97.79) (xy 233.68 81.28))
    (stroke (width 0) (type default))
    (uuid aab0b91a-ce67-40b7-8063-59155db5b7a2)
  )
  (wire (pts (xy 80.01 78.74) (xy 97.79 78.74))
    (stroke (width 0) (type default))
    (uuid aaf2dbb7-0f4f-43e5-983f-a5959251b0e5)
  )
  (bus (pts (xy 100.33 85.09) (xy 100.33 87.63))
    (stroke (width 0) (type default))
    (uuid ab0eb35e-846b-45f4-8ebd-3cef9866831d)
  )

  (wire (pts (xy 191.77 110.49) (xy 161.29 110.49))
    (stroke (width 0) (type default))
    (uuid ab17d62a-c440-4c7f-95f3-baf917ed27c2)
  )
  (wire (pts (xy 160.02 34.29) (xy 191.77 34.29))
    (stroke (width 0) (type default))
    (uuid ab22a454-c0f1-4326-bbd1-48769e59f226)
  )
  (bus (pts (xy 196.85 17.78) (xy 196.85 78.74))
    (stroke (width 0) (type default))
    (uuid abc80790-223b-4d15-9fe5-c3140a3dc801)
  )

  (wire (pts (xy 231.14 100.33) (xy 231.14 105.41))
    (stroke (width 0) (type default))
    (uuid ac4382b2-338c-41cd-a9e6-2e74370f69a9)
  )
  (wire (pts (xy 160.02 44.45) (xy 191.77 44.45))
    (stroke (width 0) (type default))
    (uuid acfd0abc-93bc-4afa-9dd3-210ee0759d16)
  )
  (wire (pts (xy 25.4 101.6) (xy 46.99 101.6))
    (stroke (width 0) (type default))
    (uuid ad1dea96-bb01-42d8-a889-7a37c1e877d2)
  )
  (wire (pts (xy 102.87 86.36) (xy 161.29 86.36))
    (stroke (width 0) (type default))
    (uuid adb88de7-8112-4432-b86c-3f4dfb5d4546)
  )
  (wire (pts (xy 107.95 167.64) (xy 132.08 167.64))
    (stroke (width 0) (type default))
    (uuid ae9d3250-4f57-4ef0-909c-c7de74e66aad)
  )
  (wire (pts (xy 34.29 80.01) (xy 44.45 80.01))
    (stroke (width 0) (type default))
    (uuid ae9f34bc-df82-478f-bae6-001d91d89432)
  )
  (bus (pts (xy 236.22 78.74) (xy 238.76 78.74))
    (stroke (width 0) (type default))
    (uuid aef26a3d-a2d5-49d3-a563-2a1b6c5db4c4)
  )

  (wire (pts (xy 227.33 50.8) (xy 247.65 50.8))
    (stroke (width 0) (type default))
    (uuid af33896a-641b-45d9-a63d-afbdc092a87d)
  )
  (wire (pts (xy 215.9 118.11) (xy 195.58 118.11))
    (stroke (width 0) (type default))
    (uuid af3b0177-575c-4915-a1f0-23f6e3d2fc04)
  )
  (wire (pts (xy 34.29 40.64) (xy 21.59 40.64))
    (stroke (width 0) (type default))
    (uuid af6c24a6-a04e-4904-a232-e2502133eb63)
  )
  (bus (pts (xy 280.67 102.87) (xy 280.67 105.41))
    (stroke (width 0) (type default))
    (uuid af83573f-aa79-4e95-9e6e-6f34a1655a52)
  )
  (bus (pts (xy 280.67 40.64) (xy 280.67 43.18))
    (stroke (width 0) (type default))
    (uuid af891ba7-bc01-48bf-a5b7-4eaa2529b41b)
  )

  (wire (pts (xy 134.62 36.83) (xy 102.87 36.83))
    (stroke (width 0) (type default))
    (uuid afc7ef77-6380-423d-a654-1932c35609b9)
  )
  (wire (pts (xy 31.75 80.01) (xy 21.59 80.01))
    (stroke (width 0) (type default))
    (uuid afed6816-6b95-4e76-b1ba-dfb30076c412)
  )
  (wire (pts (xy 116.84 153.67) (xy 116.84 160.02))
    (stroke (width 0) (type default))
    (uuid b03a17de-a53c-4274-9405-fb47f2bcdd01)
  )
  (wire (pts (xy 54.61 134.62) (xy 54.61 133.35))
    (stroke (width 0) (type default))
    (uuid b0a76066-5c7a-4540-b069-5c5e48b3c55b)
  )
  (wire (pts (xy 132.08 143.51) (xy 111.76 143.51))
    (stroke (width 0) (type default))
    (uuid b1b9c7d9-8c7e-4860-86cf-28ee750775f1)
  )
  (wire (pts (xy 82.55 153.67) (xy 82.55 157.48))
    (stroke (width 0) (type default))
    (uuid b1c32cdd-4c10-42ba-b6fb-129d8a831490)
  )
  (bus (pts (xy 100.33 68.58) (xy 100.33 71.12))
    (stroke (width 0) (type default))
    (uuid b2d31d7b-8957-4799-ae48-a272e6d919a7)
  )
  (bus (pts (xy 194.31 46.99) (xy 194.31 49.53))
    (stroke (width 0) (type default))
    (uuid b337d183-54fc-4aff-8756-e5f241356128)
  )

  (wire (pts (xy 161.29 71.12) (xy 102.87 71.12))
    (stroke (width 0) (type default))
    (uuid b39ec631-9cb3-4af9-b818-9528ed1d9e8f)
  )
  (wire (pts (xy 46.99 58.42) (xy 27.94 58.42))
    (stroke (width 0) (type default))
    (uuid b42953c0-0ff6-4063-bc1f-5b739e86eaad)
  )
  (bus (pts (xy 194.31 147.066) (xy 295.148 147.066))
    (stroke (width 0) (type default))
    (uuid b5522e39-949b-462b-88a6-b1c36e862945)
  )

  (wire (pts (xy 278.13 105.41) (xy 273.05 105.41))
    (stroke (width 0) (type default))
    (uuid b5d97d50-afc4-4d5c-a39d-11bc5aa42c83)
  )
  (wire (pts (xy 179.07 101.6) (xy 173.99 101.6))
    (stroke (width 0) (type default))
    (uuid b624f1c5-1e67-4c27-b7a1-df2f59d7336e)
  )
  (bus (pts (xy 100.33 115.57) (xy 100.33 118.11))
    (stroke (width 0) (type default))
    (uuid b684f133-7727-4818-b73e-edcb91d49fee)
  )
  (bus (pts (xy 100.33 36.83) (xy 100.33 39.37))
    (stroke (width 0) (type default))
    (uuid b6a0568b-9cca-4061-8150-3cb4cc17ce2a)
  )

  (wire (pts (xy 139.7 24.13) (xy 147.32 24.13))
    (stroke (width 0) (type default))
    (uuid b6b9ced1-e9c1-4114-b6f6-640ea78ae057)
  )
  (wire (pts (xy 132.08 157.48) (xy 132.08 167.64))
    (stroke (width 0) (type default))
    (uuid b716894d-ae74-4dad-9865-c34ac4e8b7d5)
  )
  (bus (pts (xy 280.67 48.26) (xy 280.67 50.8))
    (stroke (width 0) (type default))
    (uuid b74e60aa-8da9-43ca-8b7b-17ad776996c2)
  )
  (bus (pts (xy 100.33 60.96) (xy 100.33 63.5))
    (stroke (width 0) (type default))
    (uuid b7efaf9b-7f33-421c-8449-bf04c06b3656)
  )
  (bus (pts (xy 194.31 81.28) (xy 194.31 83.82))
    (stroke (width 0) (type default))
    (uuid b89cc7bc-ef62-4f0a-956c-b1921cb27b5a)
  )
  (bus (pts (xy 220.98 78.74) (xy 223.52 78.74))
    (stroke (width 0) (type default))
    (uuid b8af4f1b-b78f-410a-bb7c-d67854886032)
  )

  (wire (pts (xy 134.62 170.18) (xy 144.78 170.18))
    (stroke (width 0) (type default))
    (uuid b917f104-dab0-41f4-8b9b-e92e26f2f70b)
  )
  (bus (pts (xy 26.67 24.13) (xy 100.33 24.13))
    (stroke (width 0) (type default))
    (uuid b960c83d-f570-4a4b-9e58-167b96d1d687)
  )

  (wire (pts (xy 67.31 66.04) (xy 97.79 66.04))
    (stroke (width 0) (type default))
    (uuid b99c57d7-c54a-4e16-97e2-f830b9ae04ab)
  )
  (bus (pts (xy 194.31 125.73) (xy 194.31 128.27))
    (stroke (width 0) (type default))
    (uuid ba4ab3e8-7cad-4e9a-af64-ae444c814c5e)
  )

  (wire (pts (xy 46.99 127) (xy 21.59 127))
    (stroke (width 0) (type default))
    (uuid ba965b1a-8bbb-4722-8c17-d6b1f9a97cce)
  )
  (wire (pts (xy 25.4 96.52) (xy 25.4 101.6))
    (stroke (width 0) (type default))
    (uuid bb53112a-2c4b-4b78-8abd-d406504788b1)
  )
  (wire (pts (xy 210.82 97.79) (xy 233.68 97.79))
    (stroke (width 0) (type default))
    (uuid bb5cf18a-d9ed-475c-a966-ac955f6a1372)
  )
  (wire (pts (xy 161.29 78.74) (xy 102.87 78.74))
    (stroke (width 0) (type default))
    (uuid bbb9d1c2-9ee6-41ed-8128-916adbbc26b1)
  )
  (wire (pts (xy 38.1 40.64) (xy 38.1 41.91))
    (stroke (width 0) (type default))
    (uuid bbbe5114-72b7-402c-adeb-de14df8d1792)
  )
  (wire (pts (xy 135.89 123.19) (xy 102.87 123.19))
    (stroke (width 0) (type default))
    (uuid bc5a3e26-9348-411b-80f3-d0eee888c7ed)
  )
  (bus (pts (xy 280.67 58.42) (xy 280.67 92.71))
    (stroke (width 0) (type default))
    (uuid bc5efaac-e568-4662-8cb5-77e835c2a811)
  )

  (wire (pts (xy 219.71 136.398) (xy 236.22 136.398))
    (stroke (width 0) (type default))
    (uuid bcece5a5-db5b-42ea-9789-f89ff7b23265)
  )
  (wire (pts (xy 54.61 53.34) (xy 97.79 53.34))
    (stroke (width 0) (type default))
    (uuid bd6b7364-089d-43db-9a9e-21dec9274bfe)
  )
  (wire (pts (xy 222.25 55.88) (xy 247.65 55.88))
    (stroke (width 0) (type default))
    (uuid bf30a05e-79b4-45de-8727-ca27eeaa277c)
  )
  (wire (pts (xy 77.47 116.84) (xy 97.79 116.84))
    (stroke (width 0) (type default))
    (uuid bf489b03-afaa-472e-8d9a-22b194252832)
  )
  (wire (pts (xy 278.13 95.25) (xy 273.05 95.25))
    (stroke (width 0) (type default))
    (uuid bf4df470-d65c-484a-afe8-07ece13d42b2)
  )
  (wire (pts (xy 224.79 40.64) (xy 224.79 53.34))
    (stroke (width 0) (type default))
    (uuid bfa18502-684c-4085-b2f3-f9f9c6e4473b)
  )
  (wire (pts (xy 57.15 151.13) (xy 67.31 151.13))
    (stroke (width 0) (type default))
    (uuid bfad83be-f382-4278-8318-56b46816d2db)
  )
  (wire (pts (xy 116.84 160.02) (xy 144.78 160.02))
    (stroke (width 0) (type default))
    (uuid c101c8a0-8cd4-4d01-8cbb-ada48683445b)
  )
  (wire (pts (xy 231.14 81.28) (xy 231.14 100.33))
    (stroke (width 0) (type default))
    (uuid c16e9390-3281-4794-bf10-20ed0ea1061f)
  )
  (wire (pts (xy 64.77 72.39) (xy 44.45 72.39))
    (stroke (width 0) (type default))
    (uuid c24ae228-358b-431f-93db-a07b3e1cae64)
  )
  (bus (pts (xy 69.85 148.59) (xy 69.85 151.13))
    (stroke (width 0) (type default))
    (uuid c29b3cd1-21e1-4f50-b01d-af1fe5db0b4b)
  )
  (bus (pts (xy 194.31 78.74) (xy 194.31 81.28))
    (stroke (width 0) (type default))
    (uuid c3da2b94-5411-4cde-a571-18882ac797c3)
  )

  (wire (pts (xy 278.13 40.64) (xy 273.05 40.64))
    (stroke (width 0) (type default))
    (uuid c4745e9a-617d-48e0-8baa-8e6e927af605)
  )
  (bus (pts (xy 69.85 130.81) (xy 69.85 133.35))
    (stroke (width 0) (type default))
    (uuid c5db83e6-0289-4aa3-b098-218fc92b6f81)
  )
  (bus (pts (xy 100.33 81.28) (xy 100.33 83.82))
    (stroke (width 0) (type default))
    (uuid c66391e8-7288-4792-96f2-eca4de8e7d04)
  )

  (wire (pts (xy 44.45 95.25) (xy 97.79 95.25))
    (stroke (width 0) (type default))
    (uuid c66d6a51-2585-4a3e-be20-a19fb949af2f)
  )
  (wire (pts (xy 44.45 138.43) (xy 62.23 138.43))
    (stroke (width 0) (type default))
    (uuid c714d4eb-f2d8-4741-9397-75f0df347227)
  )
  (wire (pts (xy 44.45 39.37) (xy 38.1 39.37))
    (stroke (width 0) (type default))
    (uuid c75c0ea9-1306-4589-9cf8-c96ab67c7dce)
  )
  (bus (pts (xy 280.67 50.8) (xy 280.67 53.34))
    (stroke (width 0) (type default))
    (uuid c832afeb-45e6-434b-bb88-39adfa801ac8)
  )

  (wire (pts (xy 33.02 82.55) (xy 44.45 82.55))
    (stroke (width 0) (type default))
    (uuid c83d94d5-f97c-4123-8bbf-3380cfd80fb8)
  )
  (wire (pts (xy 134.62 46.99) (xy 102.87 46.99))
    (stroke (width 0) (type default))
    (uuid c8b6842a-e4cb-4de7-a34a-84ab8d3b87e7)
  )
  (wire (pts (xy 64.77 72.39) (xy 64.77 63.5))
    (stroke (width 0) (type default))
    (uuid c90c864e-8389-4ed4-a6b5-a1727053d5d7)
  )
  (bus (pts (xy 69.85 120.65) (xy 69.85 123.19))
    (stroke (width 0) (type default))
    (uuid c9162e87-8ae5-45be-a0a1-55afdc4675a0)
  )
  (bus (pts (xy 100.33 90.17) (xy 100.33 92.71))
    (stroke (width 0) (type default))
    (uuid c96e9e9f-b8d6-4b1a-bc68-7b59bd65e0e1)
  )

  (wire (pts (xy 232.41 45.72) (xy 247.65 45.72))
    (stroke (width 0) (type default))
    (uuid c9d2e4ce-033b-4f1d-b218-385bff282833)
  )
  (bus (pts (xy 231.14 78.74) (xy 233.68 78.74))
    (stroke (width 0) (type default))
    (uuid ca78fc61-52d5-425f-99ca-3bb57cc59e04)
  )
  (bus (pts (xy 280.67 97.79) (xy 280.67 100.33))
    (stroke (width 0) (type default))
    (uuid cb36a4c1-ee02-403b-9df1-e6242f8a711c)
  )

  (wire (pts (xy 44.45 59.69) (xy 26.67 59.69))
    (stroke (width 0) (type default))
    (uuid cbf20e6b-1424-4bc4-84a7-d0d7a4e26c4c)
  )
  (wire (pts (xy 210.82 107.95) (xy 223.52 107.95))
    (stroke (width 0) (type default))
    (uuid cc86e2b9-fd04-4c8a-8a78-89184f1711a8)
  )
  (bus (pts (xy 280.67 105.41) (xy 280.67 107.95))
    (stroke (width 0) (type default))
    (uuid cc90d47e-0570-478d-8471-8c7762ad313c)
  )
  (bus (pts (xy 194.31 123.19) (xy 194.31 125.73))
    (stroke (width 0) (type default))
    (uuid ccce76d1-1704-4b00-9dc1-0467e6236236)
  )

  (wire (pts (xy 60.96 139.7) (xy 60.96 143.51))
    (stroke (width 0) (type default))
    (uuid ce32dd81-3567-49db-a796-4f37f8c8f738)
  )
  (bus (pts (xy 100.33 55.88) (xy 100.33 58.42))
    (stroke (width 0) (type default))
    (uuid cea3fdc2-5417-4a46-8bbd-99f1a6e6c2b5)
  )
  (bus (pts (xy 224.79 17.78) (xy 227.33 17.78))
    (stroke (width 0) (type default))
    (uuid cff42f86-1ca9-4d2a-ad69-b54409760c93)
  )

  (wire (pts (xy 210.82 102.87) (xy 228.6 102.87))
    (stroke (width 0) (type default))
    (uuid d00d2036-42f2-4892-8d5a-b1fd9d53b9bf)
  )
  (wire (pts (xy 72.39 71.12) (xy 97.79 71.12))
    (stroke (width 0) (type default))
    (uuid d1882fab-0251-4075-9b5b-6dd842974213)
  )
  (wire (pts (xy 234.95 20.32) (xy 234.95 25.4))
    (stroke (width 0) (type default))
    (uuid d22d7f60-b35a-4795-911b-9c00a2c60549)
  )
  (wire (pts (xy 87.63 149.86) (xy 95.25 149.86))
    (stroke (width 0) (type default))
    (uuid d28886bc-056a-4fc9-a530-7a1ac87def98)
  )
  (wire (pts (xy 44.45 74.93) (xy 69.85 74.93))
    (stroke (width 0) (type default))
    (uuid d2b92ea5-8972-4638-8294-d082c2483503)
  )
  (bus (pts (xy 280.67 40.64) (xy 280.67 18.034))
    (stroke (width 0) (type default))
    (uuid d2da3f49-855f-4ec5-95d6-f67ea8aac6bf)
  )

  (wire (pts (xy 46.99 139.7) (xy 60.96 139.7))
    (stroke (width 0) (type default))
    (uuid d300aac5-4d40-4b15-85f4-ab37b18edea7)
  )
  (bus (pts (xy 280.67 107.95) (xy 280.67 110.49))
    (stroke (width 0) (type default))
    (uuid d4a1303d-45d6-47d4-864b-24eabf15a81a)
  )

  (wire (pts (xy 29.21 57.15) (xy 44.45 57.15))
    (stroke (width 0) (type default))
    (uuid d4d56742-b41d-4bc9-8889-3628bad80b79)
  )
  (wire (pts (xy 69.85 68.58) (xy 97.79 68.58))
    (stroke (width 0) (type default))
    (uuid d5db6b18-ee47-4f64-b2f8-5e292129e713)
  )
  (wire (pts (xy 64.77 63.5) (xy 97.79 63.5))
    (stroke (width 0) (type default))
    (uuid d5e2c4a4-316f-4289-93f5-1e621746f430)
  )
  (wire (pts (xy 59.69 68.58) (xy 59.69 58.42))
    (stroke (width 0) (type default))
    (uuid d65ddc24-5d94-4dd7-b9db-6e57455de77b)
  )
  (wire (pts (xy 46.99 104.14) (xy 97.79 104.14))
    (stroke (width 0) (type default))
    (uuid d6f293ac-24c9-4a5d-add5-29fc5ef28f6c)
  )
  (wire (pts (xy 121.92 165.1) (xy 144.78 165.1))
    (stroke (width 0) (type default))
    (uuid d7aeff2f-0da9-4c17-81c0-c9a8c3c0bbdd)
  )
  (bus (pts (xy 194.31 36.83) (xy 194.31 39.37))
    (stroke (width 0) (type default))
    (uuid d8786fdc-a939-4fa4-a2d9-53abe28ae963)
  )
  (bus (pts (xy 100.33 95.25) (xy 100.33 101.6))
    (stroke (width 0) (type default))
    (uuid d902dabe-b9e7-433f-98d8-f5e82bcbc9f5)
  )

  (wire (pts (xy 29.21 93.98) (xy 29.21 85.09))
    (stroke (width 0) (type default))
    (uuid d94bc587-87f0-41cc-841a-8bb9f12f6bd6)
  )
  (wire (pts (xy 55.88 144.78) (xy 55.88 153.67))
    (stroke (width 0) (type default))
    (uuid d9832226-dc62-49a4-990b-8ad7e56cbafd)
  )
  (wire (pts (xy 278.13 107.95) (xy 273.05 107.95))
    (stroke (width 0) (type default))
    (uuid d9fb55d8-9d24-4bbc-bb1b-760dc84a87e7)
  )
  (wire (pts (xy 26.67 59.69) (xy 26.67 58.42))
    (stroke (width 0) (type default))
    (uuid da051c54-87f7-4edf-8ee8-e8114c265c3b)
  )
  (wire (pts (xy 278.13 50.8) (xy 273.05 50.8))
    (stroke (width 0) (type default))
    (uuid dda25d66-300b-4df1-bc2b-0662a5938cff)
  )
  (bus (pts (xy 100.33 39.37) (xy 100.33 41.91))
    (stroke (width 0) (type default))
    (uuid de4fffad-af68-4970-b960-833a4f42a298)
  )

  (wire (pts (xy 254 87.63) (xy 251.46 87.63))
    (stroke (width 0) (type default))
    (uuid de55d28b-1aeb-4e23-83a1-fe1eb9328bb7)
  )
  (wire (pts (xy 152.4 102.87) (xy 152.4 105.41))
    (stroke (width 0) (type default))
    (uuid e0992f57-25dc-4ecb-a053-117af27e0d87)
  )
  (wire (pts (xy 135.89 118.11) (xy 102.87 118.11))
    (stroke (width 0) (type default))
    (uuid e0ef1577-1bb3-4c74-b7a5-d7ee3f3ba518)
  )
  (bus (pts (xy 100.33 58.42) (xy 100.33 60.96))
    (stroke (width 0) (type default))
    (uuid e1c84470-9f53-4c21-9b44-9f57c98df788)
  )

  (wire (pts (xy 227.33 38.1) (xy 227.33 50.8))
    (stroke (width 0) (type default))
    (uuid e261ff2e-14b8-480d-b51c-e9908a888ca9)
  )
  (wire (pts (xy 38.1 39.37) (xy 38.1 40.64))
    (stroke (width 0) (type default))
    (uuid e2d678dc-9b48-42b8-8e34-d7e59f7143e0)
  )
  (wire (pts (xy 139.7 25.4) (xy 139.7 24.13))
    (stroke (width 0) (type default))
    (uuid e2ec67da-f6ab-424c-8a0f-07b659e18ce1)
  )
  (bus (pts (xy 69.85 128.27) (xy 69.85 130.81))
    (stroke (width 0) (type default))
    (uuid e34e28eb-6e0d-4f07-a194-8115660add00)
  )

  (wire (pts (xy 46.99 99.06) (xy 26.67 99.06))
    (stroke (width 0) (type default))
    (uuid e463ba7b-09bf-4634-9a98-4da2a0c99d1f)
  )
  (wire (pts (xy 46.99 144.78) (xy 55.88 144.78))
    (stroke (width 0) (type default))
    (uuid e464b819-caff-4688-b55b-760b61e8bf5f)
  )
  (wire (pts (xy 44.45 110.49) (xy 80.01 110.49))
    (stroke (width 0) (type default))
    (uuid e5aeb2d8-fd8c-4263-827d-aa25ff0bdfbe)
  )
  (wire (pts (xy 160.02 36.83) (xy 191.77 36.83))
    (stroke (width 0) (type default))
    (uuid e5daee1a-78a5-4ffc-9b65-343bee65c1d2)
  )
  (bus (pts (xy 280.67 53.34) (xy 280.67 55.88))
    (stroke (width 0) (type default))
    (uuid e5f7d0c7-c197-4b78-a334-c71b48efd594)
  )

  (wire (pts (xy 195.58 118.11) (xy 195.58 60.96))
    (stroke (width 0) (type default))
    (uuid e603e1f4-2fbc-4c7f-a060-f1452e985b89)
  )
  (wire (pts (xy 46.99 124.46) (xy 21.59 124.46))
    (stroke (width 0) (type default))
    (uuid e7ff044d-b85b-482d-962d-09d221b46d9e)
  )
  (wire (pts (xy 44.45 146.05) (xy 38.1 146.05))
    (stroke (width 0) (type default))
    (uuid e9256128-c1c6-480f-8373-99a006064582)
  )
  (wire (pts (xy 44.45 46.99) (xy 34.29 46.99))
    (stroke (width 0) (type default))
    (uuid e943aa75-64a2-4414-8ee3-0d4029459a60)
  )
  (wire (pts (xy 30.48 49.53) (xy 21.59 49.53))
    (stroke (width 0) (type default))
    (uuid e95fbf48-e557-44f9-a1a7-4c9b68c703ab)
  )
  (wire (pts (xy 139.7 157.48) (xy 139.7 175.26))
    (stroke (width 0) (type default))
    (uuid e977ab92-da10-40ef-ad36-09bb53f8d1a9)
  )
  (wire (pts (xy 26.67 93.98) (xy 21.59 93.98))
    (stroke (width 0) (type default))
    (uuid e9df945f-56f8-4c7d-afcc-434f85578f01)
  )
  (wire (pts (xy 38.1 115.57) (xy 38.1 130.81))
    (stroke (width 0) (type default))
    (uuid ea0fc13a-8dd9-4d48-bc0f-f42e0e21d658)
  )
  (wire (pts (xy 46.99 134.62) (xy 54.61 134.62))
    (stroke (width 0) (type default))
    (uuid ea37ea73-deaf-4744-975e-a116091f7404)
  )
  (bus (pts (xy 100.33 123.19) (xy 100.33 125.73))
    (stroke (width 0) (type default))
    (uuid eaa519de-7384-4cae-bf18-88f6216dba52)
  )

  (wire (pts (xy 160.02 39.37) (xy 191.77 39.37))
    (stroke (width 0) (type default))
    (uuid eab2e042-9a92-4de4-9f14-fc9e0cd64004)
  )
  (wire (pts (xy 46.99 109.22) (xy 97.79 109.22))
    (stroke (width 0) (type default))
    (uuid ead42e53-45b4-4569-a802-1171d81a427a)
  )
  (wire (pts (xy 134.62 41.91) (xy 102.87 41.91))
    (stroke (width 0) (type default))
    (uuid eae45297-f841-4498-bcf4-5cd48d75debb)
  )
  (wire (pts (xy 85.09 147.32) (xy 87.63 147.32))
    (stroke (width 0) (type default))
    (uuid ebdab9ce-15fd-4230-9dab-cf582066a29a)
  )
  (wire (pts (xy 273.05 102.87) (xy 278.13 102.87))
    (stroke (width 0) (type default))
    (uuid ec69be47-e406-49b4-9065-f58741a304e0)
  )
  (bus (pts (xy 69.85 138.43) (xy 69.85 140.97))
    (stroke (width 0) (type default))
    (uuid ecad5406-462a-45d4-8d16-68846c05cdb7)
  )

  (wire (pts (xy 226.06 110.49) (xy 247.65 110.49))
    (stroke (width 0) (type default))
    (uuid ef2f5104-ebe7-462b-952a-2d4889dd969a)
  )
  (wire (pts (xy 40.64 68.58) (xy 27.94 68.58))
    (stroke (width 0) (type default))
    (uuid ef8be073-d70d-44b7-ae77-23504b6a1505)
  )
  (bus (pts (xy 229.87 17.78) (xy 232.41 17.78))
    (stroke (width 0) (type default))
    (uuid efe69091-e333-4e9e-b957-0827879f8056)
  )

  (wire (pts (xy 191.77 76.2) (xy 186.69 76.2))
    (stroke (width 0) (type default))
    (uuid f0653b3c-dc5c-4ee8-94c3-5da5c5917850)
  )
  (bus (pts (xy 233.68 78.74) (xy 236.22 78.74))
    (stroke (width 0) (type default))
    (uuid f173af5a-b596-4a7d-8062-21476e0d7048)
  )

  (wire (pts (xy 137.16 157.48) (xy 137.16 172.72))
    (stroke (width 0) (type default))
    (uuid f25c14aa-ff06-4113-9d4c-0fb92f158dc9)
  )
  (bus (pts (xy 69.85 143.51) (xy 69.85 146.05))
    (stroke (width 0) (type default))
    (uuid f2bc0009-13bd-4e24-aac5-4feef5358741)
  )

  (wire (pts (xy 57.15 48.26) (xy 57.15 34.29))
    (stroke (width 0) (type default))
    (uuid f2e3cf48-7ae8-4260-b544-5421f21c889e)
  )
  (wire (pts (xy 46.99 55.88) (xy 30.48 55.88))
    (stroke (width 0) (type default))
    (uuid f3176aae-4a45-45b1-b972-1057ddb20d4b)
  )
  (wire (pts (xy 40.64 66.04) (xy 40.64 68.58))
    (stroke (width 0) (type default))
    (uuid f3b7d304-7467-4948-8643-c2c267a75a68)
  )
  (wire (pts (xy 67.31 73.66) (xy 46.99 73.66))
    (stroke (width 0) (type default))
    (uuid f409e3b0-fb4c-41a0-b327-5d059a75e643)
  )
  (wire (pts (xy 44.45 135.89) (xy 67.31 135.89))
    (stroke (width 0) (type default))
    (uuid f4b0b4d2-520b-4df9-aead-060590b61816)
  )
  (wire (pts (xy 44.45 69.85) (xy 38.1 69.85))
    (stroke (width 0) (type default))
    (uuid f4db6a04-b9de-4315-9b49-68836018d542)
  )
  (wire (pts (xy 161.29 113.03) (xy 191.77 113.03))
    (stroke (width 0) (type default))
    (uuid f5619759-de7d-4622-a39f-05d6dbb24de2)
  )
  (wire (pts (xy 119.38 153.67) (xy 119.38 162.56))
    (stroke (width 0) (type default))
    (uuid f5b17b1a-d691-4795-ae12-b34c42e48540)
  )
  (wire (pts (xy 58.42 142.24) (xy 58.42 148.59))
    (stroke (width 0) (type default))
    (uuid f61034a0-b12c-4cef-a27d-5189e7815553)
  )
  (wire (pts (xy 222.25 43.18) (xy 222.25 55.88))
    (stroke (width 0) (type default))
    (uuid f6506829-ffb8-47bd-be05-1d4b6ca507b7)
  )
  (wire (pts (xy 209.55 33.02) (xy 232.41 33.02))
    (stroke (width 0) (type default))
    (uuid f74110f1-382f-4201-b209-76763f18cb46)
  )
  (wire (pts (xy 222.25 20.32) (xy 222.25 43.18))
    (stroke (width 0) (type default))
    (uuid f7b7c67b-9af0-4e53-bbb6-f16ba15627e0)
  )
  (bus (pts (xy 69.85 123.19) (xy 69.85 125.73))
    (stroke (width 0) (type default))
    (uuid f7bbe0f0-a76d-45b5-a181-cbef96f216c3)
  )

  (wire (pts (xy 44.45 90.17) (xy 97.79 90.17))
    (stroke (width 0) (type default))
    (uuid f7fccad9-0cdd-484c-9499-7e685fe8138b)
  )
  (wire (pts (xy 31.75 52.07) (xy 44.45 52.07))
    (stroke (width 0) (type default))
    (uuid f823166d-8990-42ec-a982-bc7d356c64c3)
  )
  (wire (pts (xy 170.18 105.41) (xy 173.99 105.41))
    (stroke (width 0) (type default))
    (uuid f843a7c4-57dd-442c-996e-1070e6cf4b28)
  )
  (wire (pts (xy 46.99 116.84) (xy 21.59 116.84))
    (stroke (width 0) (type default))
    (uuid f8660336-92ca-41eb-9d6d-5feb05efd7b6)
  )
  (wire (pts (xy 278.13 110.49) (xy 273.05 110.49))
    (stroke (width 0) (type default))
    (uuid f8b0dba4-99b2-41c1-bf9c-ab90d5053fa3)
  )
  (bus (pts (xy 280.67 55.88) (xy 280.67 58.42))
    (stroke (width 0) (type default))
    (uuid f8bf924f-3790-4bc2-aa44-12c16f1f8e9b)
  )

  (wire (pts (xy 46.99 48.26) (xy 57.15 48.26))
    (stroke (width 0) (type default))
    (uuid f8e3b462-5fbc-454d-baf0-dbe8c9e45629)
  )
  (wire (pts (xy 273.05 43.18) (xy 278.13 43.18))
    (stroke (width 0) (type default))
    (uuid f9264a40-45e0-4d6c-b940-b81d10aee84a)
  )
  (wire (pts (xy 44.45 130.81) (xy 38.1 130.81))
    (stroke (width 0) (type default))
    (uuid fa8169ca-4ad8-42e1-886f-780206b3e14e)
  )
  (wire (pts (xy 21.59 63.5) (xy 36.83 63.5))
    (stroke (width 0) (type default))
    (uuid fb110b38-5b1c-4b56-a438-76e454ad8422)
  )
  (wire (pts (xy 57.15 67.31) (xy 57.15 55.88))
    (stroke (width 0) (type default))
    (uuid fc070871-97b1-4d15-9ae4-018d5dba0d5d)
  )
  (wire (pts (xy 44.45 67.31) (xy 57.15 67.31))
    (stroke (width 0) (type default))
    (uuid fc0f328b-ab31-41d3-a5af-f7cc1b84c8a7)
  )
  (wire (pts (xy 57.15 55.88) (xy 97.79 55.88))
    (stroke (width 0) (type default))
    (uuid fcad87a9-9bd7-4d37-9020-e66bc0eeaec9)
  )
  (wire (pts (xy 54.61 133.35) (xy 67.31 133.35))
    (stroke (width 0) (type default))
    (uuid fd49f94b-a9b0-4978-9884-0edc8ab95554)
  )
  (wire (pts (xy 195.58 60.96) (xy 247.65 60.96))
    (stroke (width 0) (type default))
    (uuid ff46b08e-27bd-4734-a920-c7e74be5f75f)
  )
  (bus (pts (xy 100.33 78.74) (xy 100.33 81.28))
    (stroke (width 0) (type default))
    (uuid ffa9796f-233c-4530-a036-c87c1ca636b0)
  )

  (wire (pts (xy 219.71 120.65) (xy 247.65 120.65))
    (stroke (width 0) (type default))
    (uuid ffd486f7-1f15-4968-9a00-5f48cbb1c2a0)
  )

  (text "COPROCESSOR" (at 313.69 244.475 0)
    (effects (font (size 5.0038 5.0038)) (justify left bottom))
    (uuid a72da624-4729-40a9-8ca1-7ccdd887fc52)
  )

  (label "A23" (at 97.79 116.84 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 000e484a-bd78-478d-8293-2ec9de7cbebf)
  )
  (label "BA4" (at 191.77 44.45 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 06663fd8-daf4-4401-83ca-bcc8ca608ece)
  )
  (label "BA10" (at 186.69 81.28 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 07368ade-0b44-4dad-9b3b-3e3e8f941e79)
  )
  (label "A21" (at 102.87 115.57 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 083fd18e-df2f-4b83-b899-627c487ed798)
  )
  (label "D15" (at 67.31 118.11 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 092a5aec-e7c3-4f4a-9b49-37d72a88aadd)
  )
  (label "D8" (at 247.65 95.25 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 0efd378b-6b94-42b1-b300-6e55ef2dfc72)
  )
  (label "A2" (at 97.79 63.5 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 1007e8d5-1c6a-402a-a615-4e32c8f4dbbc)
  )
  (label "BA5" (at 191.77 46.99 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 1265313b-ec83-4937-ab21-c09c3fabe944)
  )
  (label "A4" (at 97.79 58.42 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 13adb502-e8b7-4749-94ac-13d3df6364aa)
  )
  (label "D3" (at 247.65 48.26 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 15f5bc96-be89-46e0-963f-95b051fab5ab)
  )
  (label "BA12" (at 186.69 76.2 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 175abddc-0689-445f-abcf-e997f9c6fac2)
  )
  (label "BD9" (at 273.05 97.79 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 17df8333-455f-4ce3-9e4c-45ac3408c5c5)
  )
  (label "A22" (at 97.79 111.76 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 190a73ac-af9e-4ffc-8cd7-369b57583e0d)
  )
  (label "A2" (at 105.41 34.29 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 19ac7e81-a74a-484d-aead-2004df0400dd)
  )
  (label "D4" (at 247.65 43.18 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 1c27b630-2204-4463-8d33-540cbaed1b95)
  )
  (label "BA19" (at 191.77 120.65 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 1e25da01-5711-4ae5-a991-11f6e4191537)
  )
  (label "BA22" (at 191.77 113.03 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 200c3cda-5666-4a3d-a59f-40c036333125)
  )
  (label "D15" (at 247.65 113.03 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 238e75a7-f914-41a4-9046-987e19715b74)
  )
  (label "BD4" (at 273.05 43.18 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 251a208c-958f-43b6-a33f-861cc961232c)
  )
  (label "A9" (at 97.79 73.66 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 26a1ba55-d108-4a73-b8d8-4439eb37537b)
  )
  (label "BA9" (at 186.69 83.82 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 286672ef-96c7-4e2d-a584-d36f00b02012)
  )
  (label "D3" (at 67.31 146.05 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 2b66fa04-61b0-4d94-aa39-e49c713abf96)
  )
  (label "D6" (at 67.31 153.67 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 2b8fee74-d98b-4743-98f8-4b77c5145b2a)
  )
  (label "BD8" (at 273.05 95.25 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 2e581062-cce6-4f9d-ab2f-ba66a4fa4a91)
  )
  (label "BA3" (at 191.77 39.37 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 2f41d3c4-3671-4e8f-b931-6d6a2ce8e7b1)
  )
  (label "BD1" (at 273.05 53.34 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 2fd6ca33-9a67-49c9-94e6-d0394d7ec637)
  )
  (label "BA20" (at 191.77 118.11 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 3085246f-5a23-4c8e-8582-5ede9524aba8)
  )
  (label "A13" (at 106.68 73.66 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 3288a726-273a-49ac-8e58-6d8dcf584b74)
  )
  (label "A11" (at 106.68 78.74 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 34a907d5-af7e-4ec6-bbc1-7d60f7c39cee)
  )
  (label "BA6" (at 191.77 41.91 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 35b575a6-bdba-41ed-96ec-d5e8be9b09fa)
  )
  (label "A8" (at 97.79 71.12 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 36f61640-0117-4432-9b92-cd770c3096f3)
  )
  (label "BA8" (at 186.69 86.36 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 3eae4b09-efe4-43dd-afef-7e8910d5208c)
  )
  (label "_UDS" (at 21.59 129.54 0) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify left bottom))
    (uuid 416276bb-7b9b-4850-994f-92b1c6e9f5d5)
  )
  (label "R_W" (at 82.55 167.64 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid 423c6cc2-3cce-4a71-8bac-059105754532)
  )
  (label "D7" (at 247.65 45.72 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 427327f3-3d2d-4a07-8ea9-bb99ca22ff83)
  )
  (label "A10" (at 97.79 76.2 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 4670961c-3f12-44fd-b6ed-39d4aa246399)
  )
  (label "A8" (at 105.41 86.36 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 46956a0b-6ff5-4a46-89b8-6bb5118f9b6c)
  )
  (label "BD15" (at 273.05 113.03 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 47d7d626-110c-4ca1-b472-94a218b02571)
  )
  (label "A14" (at 106.68 71.12 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 4972f643-070a-4e4c-824a-14a98e3badbb)
  )
  (label "FC2" (at 21.59 77.47 0) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify left bottom))
    (uuid 4b6de5db-7451-4452-8cbf-7091ccda6f32)
  )
  (label "BD12" (at 273.05 105.41 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 4ed607d5-61c9-4cff-87c7-2f12701b96b2)
  )
  (label "A3" (at 97.79 60.96 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 52b78c52-9ce4-4e3c-bf5c-bf8370fbddb9)
  )
  (label "A15" (at 97.79 92.71 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 53021327-e11f-4c73-a542-6b532a0ef8bd)
  )
  (label "D14" (at 247.65 110.49 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 5308e660-c8f7-48c4-bc69-bc038365d2ef)
  )
  (label "A13" (at 97.79 87.63 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 53bbe86c-5be7-4188-b2ed-37d64503657b)
  )
  (label "A18" (at 97.79 104.14 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 58d65973-e431-4444-a5ef-f382a3cadc81)
  )
  (label "A18" (at 106.68 123.19 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 5a6e7aed-d06d-4540-b47b-f67ffbb04b46)
  )
  (label "DMAOUT" (at 134.62 54.61 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid 5c8477e3-9252-41b3-bbf7-3cabd110e9e3)
  )
  (label "R_W" (at 21.59 124.46 0) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify left bottom))
    (uuid 5d9cb945-7c21-4fc0-b453-47934077bb68)
  )
  (label "D12" (at 67.31 125.73 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 60258c41-9fc2-4f69-93f7-3d2888fec398)
  )
  (label "D6" (at 247.65 40.64 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 669cacb0-ee1f-4c8a-9fcb-b2bb352e8342)
  )
  (label "BA21" (at 191.77 115.57 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 6980f675-cb20-4b3d-a250-8e44bcba1248)
  )
  (label "A1" (at 105.41 31.75 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 6a8974f1-6c6a-486f-beac-ff221345633f)
  )
  (label "BA23" (at 191.77 110.49 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 6c8fa488-f57a-453a-90d3-219b7ee906fa)
  )
  (label "A1" (at 97.79 68.58 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 6cb03c33-570f-468f-9b00-823515c03060)
  )
  (label "A7" (at 97.79 66.04 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 700e53a7-9d78-4c87-ad0a-cefd5d1bd709)
  )
  (label "BA15" (at 186.69 68.58 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 70265102-2f7e-4081-a02b-0d43292c89e1)
  )
  (label "A5" (at 105.41 46.99 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 70d626ca-2ac8-40d9-b899-c663dec452a9)
  )
  (label "D1" (at 67.31 135.89 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 746f5b91-558c-4024-b757-dddc3d1b696b)
  )
  (label "BA18" (at 191.77 123.19 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 7849901d-1d3d-433b-a68c-e15c2dd58a31)
  )
  (label "BD11" (at 273.05 102.87 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 7bdca6a8-75c5-4977-8052-bc890357ea14)
  )
  (label "D0" (at 67.31 130.81 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 7c242ca3-c03a-4e0d-a0af-ba0f3e09873d)
  )
  (label "A14" (at 97.79 90.17 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 7c991e05-8d3e-42e2-89c4-b942f356bde8)
  )
  (label "BD2" (at 273.05 50.8 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 81652a90-8b54-4cd2-9cf8-ab21a77fdbba)
  )
  (label "D9" (at 67.31 138.43 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 826411c2-e955-419f-bb70-0c6190f8ab62)
  )
  (label "A12" (at 106.68 76.2 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 848db377-ca5d-4a96-bc67-9f9f05da2cbb)
  )
  (label "BD13" (at 273.05 107.95 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid 8504574a-d4f3-4d4f-92be-1acf39822bee)
  )
  (label "A19" (at 106.68 120.65 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 85cbc801-a59d-44d4-abc5-1861cc75573b)
  )
  (label "A11" (at 97.79 78.74 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 8619148b-b740-4c20-b53f-d5e53bd5803b)
  )
  (label "A6" (at 97.79 55.88 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 8aa06da9-51a5-4554-95b3-74c409cec369)
  )
  (label "BA2" (at 191.77 34.29 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 8b48ea94-a5d0-4d56-ad3f-70a2ed31c5c9)
  )
  (label "DMAOUT" (at 161.29 91.44 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid 8ca5ad98-db30-44c3-8426-bd5a550e4e49)
  )
  (label "BA17" (at 191.77 125.73 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 8f3dfd58-f6e9-49d2-b68a-74d2a02f49ed)
  )
  (label "D10" (at 247.65 100.33 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 92b899ac-e081-49e6-b79a-f62b06a78ebc)
  )
  (label "FC0" (at 21.59 72.39 0) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify left bottom))
    (uuid 95b65544-6256-4234-8801-505944459a64)
  )
  (label "A6" (at 105.41 41.91 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 983eb76e-d84b-47dc-ae00-d37c1ad8238b)
  )
  (label "_LDS" (at 82.55 170.18 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid 9ac2649a-577c-4017-b443-6152c936f1b7)
  )
  (label "A3" (at 105.41 39.37 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 9b1a629c-3da2-4eca-8e57-00355ad523cc)
  )
  (label "D5" (at 247.65 38.1 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid 9dca3ee2-87e8-4d0f-811e-daa5c861d5d1)
  )
  (label "_UDS" (at 82.55 172.72 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid 9e929024-00b7-4246-b756-eabc2a037ae7)
  )
  (label "A21" (at 97.79 114.3 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid 9f166dff-8ade-4f94-b2fa-45545fbc6465)
  )
  (label "_AS" (at 82.55 175.26 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid a1d98a51-534d-4011-ab8e-de65508aabfa)
  )
  (label "_LDS" (at 21.59 127 0) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify left bottom))
    (uuid a30394dd-f240-4129-8d5e-06ec28aec2bd)
  )
  (label "BD7" (at 273.05 45.72 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid a527bd68-d5ec-4218-866d-7835e332a052)
  )
  (label "BD14" (at 273.05 110.49 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid a5f7772c-a507-4828-bee7-32a8063e3757)
  )
  (label "D13" (at 67.31 123.19 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid a74d3292-9c86-4a40-8e38-05fac607b63c)
  )
  (label "BD0" (at 273.05 55.88 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid a824ab1a-eabe-4c4e-8f88-b6b1e8f0d1ed)
  )
  (label "D11" (at 247.65 102.87 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid a86b1e4f-6adc-44a7-a1b3-1c5f4deecb58)
  )
  (label "A4" (at 105.41 44.45 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid aab3734d-f6a0-45f8-baa6-5c227c4ea218)
  )
  (label "A5" (at 97.79 53.34 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid aad38fec-5231-474f-962d-6bb1f1defc50)
  )
  (label "A16" (at 106.68 128.27 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid ad8c71f4-470c-4ed0-84fa-a9ee3916a419)
  )
  (label "BA13" (at 186.69 73.66 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid ae17b049-7cee-4d7e-ba5e-f58f7730d1ac)
  )
  (label "FC0" (at 82.55 165.1 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid af07b992-a7fb-49e4-9fdd-ab04335af091)
  )
  (label "A17" (at 97.79 97.79 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid b634ca2e-703e-465a-aa8a-29d2f4364bda)
  )
  (label "D2" (at 67.31 140.97 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid be0c5435-9c16-4d93-bdac-7002f75c353e)
  )
  (label "A20" (at 97.79 109.22 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid bf7ae497-1eb2-421b-a86e-ad657c53dcb3)
  )
  (label "A12" (at 97.79 81.28 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid c045fd95-304d-49df-a984-44476bd25249)
  )
  (label "BA14" (at 186.69 71.12 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid c0acea1a-45c4-4369-8561-49402422513a)
  )
  (label "D14" (at 67.31 120.65 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid c0ee320d-69c8-489e-aa5e-67b566a60111)
  )
  (label "D2" (at 247.65 50.8 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid c13fa655-4272-46f0-8c2d-9dc0317e2067)
  )
  (label "D9" (at 247.65 97.79 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid c4d2a205-40e0-4210-bbae-68e8bc00fea6)
  )
  (label "D4" (at 67.31 151.13 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid c5ac9b0c-6ccd-40d4-a580-2280b18a3115)
  )
  (label "D1" (at 247.65 53.34 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid c5e75989-de8c-4d25-902b-97be5958254d)
  )
  (label "D8" (at 67.31 143.51 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid c66581b3-82e0-4997-992c-261c620195a9)
  )
  (label "FC2" (at 82.55 160.02 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid c7ef2645-2f62-4ee5-995c-f76a70fbba00)
  )
  (label "DMAOUT" (at 82.55 180.34 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid c964b98f-151a-4529-8912-1f7465a6a9c1)
  )
  (label "D11" (at 67.31 128.27 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid cb6af57e-4ce8-4928-9236-2a3a089808ae)
  )
  (label "_AS" (at 21.59 132.08 0) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify left bottom))
    (uuid cbe770dc-efe4-4b96-847c-bc243ca04975)
  )
  (label "BA1" (at 191.77 31.75 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid cc5c578e-ef53-4c33-886a-cd6a51c9666b)
  )
  (label "D13" (at 247.65 107.95 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid ccde1ef4-175b-48ad-afe6-d05a38a38e3d)
  )
  (label "BD3" (at 273.05 48.26 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid cfb6c395-091e-4ca6-b72d-efc9b8c3818d)
  )
  (label "D10" (at 67.31 133.35 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid d03255fd-bea6-494a-8372-aa5a0c993d7b)
  )
  (label "A7" (at 105.41 36.83 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid d0ffac9c-135c-4699-907b-6be08cd02c8f)
  )
  (label "D7" (at 67.31 148.59 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid d2b64518-518e-4cbf-84b2-c4b76a7c909e)
  )
  (label "A23" (at 106.68 110.49 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid d3033a2e-7814-48e8-8d68-2563d1bf0682)
  )
  (label "BA11" (at 186.69 78.74 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid d39cbc0f-9af2-4fd1-9784-084220b070a2)
  )
  (label "A16" (at 97.79 95.25 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid d60ff99f-315e-4783-bb8c-92def5271f7b)
  )
  (label "A19" (at 97.79 106.68 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid d673964a-f9c0-40d4-8abc-7bbc450ac0ea)
  )
  (label "A10" (at 106.68 81.28 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid d7054e7e-9173-4ab0-9e2c-777ce9546021)
  )
  (label "BD5" (at 273.05 38.1 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid d717013e-f514-487e-9104-54a820b52b40)
  )
  (label "A22" (at 102.87 113.03 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid d786140d-c565-4ca0-8b20-8474a593c364)
  )
  (label "A15" (at 106.68 68.58 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid d9973727-315e-4bba-b120-fa2cb0f15e1c)
  )
  (label "A20" (at 106.68 118.11 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid dba7a713-c484-4e91-a9f5-2e44a579d4b2)
  )
  (label "FC1" (at 82.55 162.56 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid de6300b0-2ba3-4ac6-afd2-383ac93d342a)
  )
  (label "A9" (at 105.41 83.82 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid dfdefc49-3c35-4ffb-a769-3a5023548e29)
  )
  (label "D0" (at 247.65 55.88 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid ec83f864-f9d0-4182-a448-ad9c3a22acbf)
  )
  (label "BA16" (at 191.77 128.27 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid eda5fb16-5c7a-48bc-b2ce-8c4401f052ff)
  )
  (label "FC1" (at 21.59 74.93 0) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify left bottom))
    (uuid f0938ecc-f3e7-465f-9ec2-bdd18f069f76)
  )
  (label "BD10" (at 273.05 100.33 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid f2e9729f-af3b-4fba-a703-0541fcd88395)
  )
  (label "BA7" (at 191.77 36.83 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid f35dadbe-e68b-49aa-9f56-563f886a5aba)
  )
  (label "DMAOUT" (at 135.89 133.35 180) (fields_autoplaced)
    (effects (font (size 1.1938 1.1938)) (justify right bottom))
    (uuid f4cfb493-4bfb-493f-8825-cd8f678c1542)
  )
  (label "BD6" (at 273.05 40.64 0) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify left bottom))
    (uuid f8b69d26-b40b-42da-a364-5dbb46c4b78e)
  )
  (label "A17" (at 106.68 125.73 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid f96a98b2-0aac-4784-aaba-ba9195d39787)
  )
  (label "D12" (at 247.65 105.41 180) (fields_autoplaced)
    (effects (font (size 1.27 1.27)) (justify right bottom))
    (uuid fcef99a6-e89d-49d7-b14c-9d80821da4c6)
  )
  (label "D5" (at 67.31 156.21 180) (fields_autoplaced)
    (effects (font (size 1.2954 1.2954)) (justify right bottom))
    (uuid ff003187-3615-4ce0-9b34-4164c1e9dd8f)
  )

  (global_label "_IPL1" (shape bidirectional) (at 21.59 82.55 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 0a272517-4a1f-4e73-8140-4845ddc3bebe)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 82.55 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_VC1" (shape input) (at 21.59 54.61 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 0d6aeabd-641b-4052-8807-bf36f7b1b550)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 54.61 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_DTACK" (shape bidirectional) (at 21.59 121.92 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 0e0fd75b-945d-4522-bbd0-86f3ca113302)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 121.92 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "XRDY" (shape output) (at 21.59 60.96 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 117c123c-5b6e-4910-a6f4-e9a7731cade3)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_CBG" (shape bidirectional) (at 21.59 119.38 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 14c765e2-2c29-4ec5-aaac-e419a51ee41a)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 119.38 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_LDS" (shape bidirectional) (at 21.59 127 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 15186de2-9cdb-495b-8ae8-24095ed5d83f)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 127 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_OVR" (shape output) (at 21.59 58.42 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 153d6ea5-b0a4-4a57-a398-05ae1ca982e1)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 58.42 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "DMAOUT" (shape input) (at 26.67 180.34 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 1fc78373-418c-4294-ad36-9a6b27ca425a)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 26.67 180.34 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_AS" (shape bidirectional) (at 21.59 132.08 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 2164d4ba-2c1b-4916-a625-fba612a6ccc8)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 132.08 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "28M" (shape input) (at 21.59 43.18 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 32e87820-1a3d-4321-8d5d-293a3a7cb7ab)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 43.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_INT2" (shape output) (at 21.59 63.5 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 32f02a35-9fb7-4ebf-abb6-8a55e97320ea)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 63.5 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "V7M" (shape input) (at 21.59 40.64 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 33c81277-0145-445e-abed-db78419c5f4f)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 40.64 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_BAS" (shape bidirectional) (at 144.78 175.26 0)
    (effects (font (size 1.1938 1.1938)) (justify left))
    (uuid 37613e65-c01f-4510-adee-d23811be0be2)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 144.78 175.26 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_INT6" (shape output) (at 21.59 69.85 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 3e416c7c-01dc-46dc-ad4d-8b9bbd2c6964)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 69.85 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_VC3" (shape input) (at 21.59 49.53 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 44764ba5-71e6-4169-aebb-c3abbb635f40)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 49.53 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "A[1..23]" (shape bidirectional) (at 26.67 24.13 180)
    (effects (font (size 1.2954 1.2954)) (justify right))
    (uuid 493932f5-cdb5-4245-9397-873bb64dff7e)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 26.67 24.13 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "BA[1..23]" (shape bidirectional) (at 295.148 147.066 0)
    (effects (font (size 1.2954 1.2954)) (justify left))
    (uuid 559134d6-6fb0-4de5-938e-4f76a2ec44aa)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 295.148 147.066 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "FC0" (shape bidirectional) (at 21.59 72.39 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 62020b8f-f1b3-4320-93a6-452a2ba73b6d)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 72.39 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_VPA" (shape input) (at 21.59 93.98 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 6846f401-be0f-4eaa-88ff-0772ca18a1c2)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 93.98 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_HLT" (shape bidirectional) (at 21.59 107.95 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 6d885509-bbdc-4aa3-b5c9-ba11bc1ec4a5)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "BFC0" (shape bidirectional) (at 144.78 165.1 0)
    (effects (font (size 1.1938 1.1938)) (justify left))
    (uuid 79bf7f95-c79b-4c2d-9eeb-63168b6e6e55)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 144.78 165.1 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_BUDS" (shape bidirectional) (at 144.78 172.72 0)
    (effects (font (size 1.1938 1.1938)) (justify left))
    (uuid 7d6af3c4-1c8f-4882-bdc3-0866fa7f9c10)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 144.78 172.72 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "D[0..15]" (shape bidirectional) (at 26.67 17.78 180)
    (effects (font (size 1.2954 1.2954)) (justify right))
    (uuid 825071e5-a95d-4cd5-ba2a-8cca68fed703)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 26.67 17.78 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "FC2" (shape bidirectional) (at 21.59 77.47 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 83106802-c3c6-4cb5-8cfc-2613dede4794)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 77.47 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_IPL0" (shape bidirectional) (at 21.59 80.01 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 8b1f5670-f2a1-4979-80f6-3b8e654edec7)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 80.01 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "BFC2" (shape bidirectional) (at 144.78 160.02 0)
    (effects (font (size 1.1938 1.1938)) (justify left))
    (uuid 8fa5f635-6734-4fd4-acde-42d6a65ed8f7)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 144.78 160.02 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_BOSS" (shape output) (at 21.59 66.04 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 995a300a-be9f-4e96-b5ff-ea917d008c08)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 66.04 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_BEER" (shape bidirectional) (at 21.59 91.44 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 9cb1a804-35a8-49c2-aa07-d5e0be5aa4bb)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 91.44 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "R_W" (shape bidirectional) (at 21.59 124.46 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid 9eadeb0b-221d-4b5a-97a3-37f6b01b5628)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 124.46 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_BLDS" (shape bidirectional) (at 144.78 170.18 0)
    (effects (font (size 1.1938 1.1938)) (justify left))
    (uuid ac4150f3-0a6c-4d50-831f-e171d69e984c)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 144.78 170.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_D2P" (shape input) (at 294.894 141.986 0)
    (effects (font (size 1.1938 1.1938)) (justify left))
    (uuid c1281a87-f6a7-4e13-b35d-ca592e515852)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 294.894 141.986 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "VCDAC" (shape input) (at 21.59 52.07 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid c4bf64df-79fb-4677-baf7-8b32b741ab38)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 52.07 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "BFC1" (shape bidirectional) (at 144.78 162.56 0)
    (effects (font (size 1.1938 1.1938)) (justify left))
    (uuid c79dd06d-2c08-4254-9fb9-8fa1bb94d861)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 144.78 162.56 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_BGACK" (shape bidirectional) (at 21.59 116.84 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid cba83c69-ee4e-48c5-bee1-94f2079562b0)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 116.84 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_IPL2" (shape bidirectional) (at 21.59 85.09 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid ccbc9ca1-43ba-4323-aa1a-ae5d766c667d)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 85.09 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "D[0..15]" (shape bidirectional) (at 26.67 171.45 180)
    (effects (font (size 1.2954 1.2954)) (justify right))
    (uuid d464b6c2-6af6-42bc-8b07-30333a0f8536)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 26.67 171.45 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_UDS" (shape bidirectional) (at 21.59 129.54 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid dd19390f-7bf1-4aaf-8d98-724b4edde8bc)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 129.54 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "FC1" (shape bidirectional) (at 21.59 74.93 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid e3b369b1-ec9c-4bcb-8cb8-29a268616e77)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 74.93 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "BD[0..15]" (shape bidirectional) (at 293.116 18.034 0)
    (effects (font (size 1.2954 1.2954)) (justify left))
    (uuid e55bcf7b-8abb-48f7-9e14-b9b124012528)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 293.116 18.034 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "E" (shape input) (at 21.59 96.52 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid e7da47f1-f3a9-40c5-84c5-bd8fc38a61f7)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 96.52 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "READ" (shape bidirectional) (at 144.78 167.64 0)
    (effects (font (size 1.1938 1.1938)) (justify left))
    (uuid ec5cc468-ca62-49f4-89c9-e2debf9bda9a)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 144.78 167.64 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_COPCFG" (shape output) (at 21.59 45.72 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid f0c7d3b8-f00a-47bc-9db7-98f50be61a85)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 45.72 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_CBR" (shape bidirectional) (at 21.59 114.3 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid f50899bf-8e1a-409b-97d2-79de7862da0c)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 114.3 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_RST" (shape bidirectional) (at 21.59 105.41 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid f91e43f8-cbcb-4ae4-91d4-dfe88f1c83a3)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_VMA" (shape bidirectional) (at 21.59 102.87 180)
    (effects (font (size 1.1938 1.1938)) (justify right))
    (uuid fc244304-2cc7-4351-b266-183224cbe3d1)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 21.59 102.87 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )
  (global_label "_DBOE" (shape input) (at 294.894 136.398 0)
    (effects (font (size 1.1938 1.1938)) (justify left))
    (uuid fe82ca55-4cf8-46b4-9708-7b4075d6fe0a)
    (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 294.894 136.398 0)
      (effects (font (size 1.27 1.27)) hide)
    )
  )

  (symbol (lib_id "Amiga-Library:Amiga-2000-CPU-Slot") (at 45.72 92.71 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604cc309)
    (property "Reference" "CN600" (at 43.18 35.56 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "Amiga-2000-CPU-Slot" (at 34.29 151.13 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "AmigaFootprints:Samtec_HLE-150-02-xx-DV-TE_2x43_P2.54mm_Horizontal" (at 45.72 55.88 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 45.72 55.88 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 45.72 92.71 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "C5173317" (at 45.72 92.71 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 45.72 92.71 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "1" (at 45.72 92.71 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "A144213-ND" (at 45.72 92.71 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 45.72 92.71 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid a0ae55e3-9d79-4c94-9535-bde7f3c6b17f))
    (pin "10" (uuid 8a1c025c-5572-430a-8c07-843fd752cf86))
    (pin "11" (uuid 9b19cbb4-3156-4f74-a231-7f19494079a7))
    (pin "12" (uuid c2e02fac-e8a9-4347-8011-c8631edc232b))
    (pin "13" (uuid 7fc80bf0-d1d3-4dd0-956c-94ad0cce52ed))
    (pin "14" (uuid c4e50bca-6e85-4f2e-97c8-7e44c60f01c9))
    (pin "15" (uuid 9f9a3306-b14b-4213-a8c3-493952138e11))
    (pin "16" (uuid 739b29d2-08c8-4ebc-8e96-3d527c4c8bd2))
    (pin "17" (uuid a53d7521-5cbb-4d3a-a69a-c9315d949e91))
    (pin "18" (uuid 8db71e80-5fd5-4ecc-bff7-7e18a17abf0e))
    (pin "19" (uuid 927677fd-f21c-4848-be6b-cc294ecca441))
    (pin "2" (uuid d0d62f62-15d6-419c-939a-a3f0a6bb6c91))
    (pin "20" (uuid 02cba898-518a-48a1-a434-e59364b249b7))
    (pin "21" (uuid c9926561-5560-4602-a054-b127ad85ea52))
    (pin "22" (uuid f5769b7b-604b-45bc-bb2a-b3ae1c316a33))
    (pin "23" (uuid 0f404df4-470d-49b3-9731-36a07a3e888e))
    (pin "24" (uuid 5e0803dc-21cf-4728-a0a5-f8319a3d6a37))
    (pin "25" (uuid 70145cc4-7a13-4247-9f19-d86b818e1b20))
    (pin "26" (uuid 45a4794f-d803-41cb-8af6-d9c22dc0cc23))
    (pin "27" (uuid eeea569a-14ae-48d3-a0b0-3e7e9daa64e4))
    (pin "28" (uuid 34df147c-5e28-4958-af8a-67fd583d3cd7))
    (pin "29" (uuid ac8cf02b-79eb-451b-b7b2-d15f201ed808))
    (pin "3" (uuid 224d1348-0f1a-4d50-a2df-d4954d8a9efc))
    (pin "30" (uuid ac59a87e-6c9a-4145-abf4-63570477ed16))
    (pin "31" (uuid a6d2a1b1-32e6-4ffa-bea7-6413d71df713))
    (pin "32" (uuid 9087c6e1-294d-4bd0-8a61-d327946d5ab2))
    (pin "33" (uuid 87ea1649-86a7-4bd1-91d3-60b1b0554c99))
    (pin "34" (uuid 14732c08-0d2f-4f6a-9a2f-88ac1274536e))
    (pin "35" (uuid 5e1df72f-2db8-4b69-bbd7-3262e57adf3c))
    (pin "36" (uuid c2a1d7c6-3545-4ed2-aa34-e42b35cbaca3))
    (pin "37" (uuid 8c23ebd7-0aa2-424f-b3b2-96e12e3044ad))
    (pin "38" (uuid d4e7a400-00da-4e77-85d6-223c85c52af9))
    (pin "39" (uuid 9477de91-14d4-4e7e-a633-83eff2e5b9e8))
    (pin "4" (uuid 2647be75-80fb-4df8-bfd8-7c97af274143))
    (pin "40" (uuid a153de55-3da4-4a44-89ce-50dc0bde2f0b))
    (pin "41" (uuid 29c0f885-7274-475c-a90b-d843e7582304))
    (pin "42" (uuid ce00314f-81fe-49fc-98ec-c351735a81f3))
    (pin "43" (uuid 85a34ea4-6f14-461e-a4ee-fb042daab207))
    (pin "44" (uuid 0adcc0fc-fa5a-4e23-a0a6-c6aff9bddda6))
    (pin "45" (uuid 58496d27-29c2-41bb-b21c-3b5f3b7e8c31))
    (pin "46" (uuid b25b4661-92dd-4ca9-a94b-7c75383dfc11))
    (pin "47" (uuid 0e28430f-2816-4d4f-bb73-636d705a3e0c))
    (pin "48" (uuid e94c5d4d-80d8-4695-bfda-c15e419c91f8))
    (pin "49" (uuid 00b1dbaa-a278-4f1a-ae59-6d36d957df7c))
    (pin "5" (uuid 9d2add57-61a4-4b8d-ada3-a3377b74ccf6))
    (pin "50" (uuid 9d289dea-6b42-4cfc-91bd-7b597e4a5d7e))
    (pin "51" (uuid b4c19a35-5f81-45ab-9f04-efeea7ae0bea))
    (pin "52" (uuid 5dd96586-73f1-48c2-bce9-d0ca21a6fa2f))
    (pin "53" (uuid 4bd666f8-0aa7-4e40-a691-0c3997ede402))
    (pin "54" (uuid 45a384be-00fe-44c0-9f61-b720ef23ae4a))
    (pin "55" (uuid 65a38d13-c30e-43ec-b030-1e6d4fa92612))
    (pin "56" (uuid 3bd9afb2-e549-43ea-9c4f-a351a8c2231c))
    (pin "57" (uuid 96f4fa8f-b29f-453f-933e-3fbf1c51fa5d))
    (pin "58" (uuid 9cb99345-f9a4-4c6e-af96-a5fa2d0faece))
    (pin "59" (uuid b7c9ceb6-a428-41a1-89a0-290466796675))
    (pin "6" (uuid 6b5f8a4e-6945-45aa-9097-417010c16444))
    (pin "60" (uuid 0000369f-05f6-4eff-a9d8-6d41e54b8c59))
    (pin "61" (uuid 6c0f44e8-fe8a-4819-9a43-ac3cca430de2))
    (pin "62" (uuid 6992d288-cbe0-4d32-824f-e1d1ce89fbb7))
    (pin "63" (uuid e1367499-30dc-4f56-a374-33201d9d8f19))
    (pin "64" (uuid cc310e7b-f4d8-437e-a2f5-895821eb8c35))
    (pin "65" (uuid 8ffec6a4-8f4a-4d07-952c-878120a718d0))
    (pin "66" (uuid 8f10b3ab-e8f4-4fb3-93e4-c586cc967dcf))
    (pin "67" (uuid 40268cf5-9c92-4261-9713-5180a2a744aa))
    (pin "68" (uuid 0a122e8a-3ec9-4ec3-9eb7-64ae6918981a))
    (pin "69" (uuid 509d02af-83c0-468f-ae39-71b441c2aa20))
    (pin "7" (uuid ca50a44b-4f26-464d-ab01-2db8a6505e36))
    (pin "70" (uuid 9d321a14-06d6-46b8-b386-d62fdb7e269c))
    (pin "71" (uuid 9d0f07db-c8fb-4565-9342-bbdb811de369))
    (pin "72" (uuid 86d4014d-482c-448d-892f-a02eabdb3a35))
    (pin "73" (uuid abb4b457-97f0-4ba8-845a-d0b78f3c3ad7))
    (pin "74" (uuid 72ccf95d-95f3-4aae-8397-e8629e268ba7))
    (pin "75" (uuid 3abfe28b-623c-46d7-8f91-7b868a540426))
    (pin "76" (uuid 4233b2fe-2a77-414d-93b1-1ce984efb33e))
    (pin "77" (uuid 685b4a2a-3d20-42f8-b088-12fdc1457630))
    (pin "78" (uuid 3397687e-a0f9-4a43-bc5a-151ecbd20dc8))
    (pin "79" (uuid 8175a827-343c-40e5-a6f4-6c03a5459863))
    (pin "8" (uuid 6b7ddbb7-7a2a-4817-a5b5-603ec2ae3d91))
    (pin "80" (uuid a7fc8390-6c43-46c0-a140-36599e95b748))
    (pin "81" (uuid 72c61aca-b530-4db6-8b6a-9ab0f3cd9c50))
    (pin "82" (uuid 969dc7c3-6612-473e-b82a-48cf4463382f))
    (pin "83" (uuid e134a58e-fca6-4ff5-b59f-ef56e0e81387))
    (pin "84" (uuid 2791f869-3909-4e0b-83ac-d53cf44adc12))
    (pin "85" (uuid 84dae05d-5c5a-48a8-99de-75de90c22167))
    (pin "86" (uuid e704b080-4e21-476f-9017-ab7b7c5e265c))
    (pin "9" (uuid 63c1eace-9bb6-49c5-93f1-c7d76cd4057d))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "CN600") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 38.1 158.75 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604d2a89)
    (property "Reference" "#PWR0123" (at 38.1 165.1 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 38.227 163.1442 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 38.1 158.75 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 38.1 158.75 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid f4aa6fea-60b5-4047-86bc-eda05859e756))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0123") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+5V") (at 53.34 39.37 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604d882a)
    (property "Reference" "#PWR0124" (at 53.34 43.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+5V" (at 53.7718 33.7058 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 53.34 39.37 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 53.34 39.37 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 84cfd30a-9265-49b2-9ba2-d03f08d6b74a))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0124") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:-5V") (at 57.15 34.29 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604d8a9e)
    (property "Reference" "#PWR0125" (at 57.15 38.1 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "-5V" (at 57.5818 28.6258 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 57.15 34.29 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 57.15 34.29 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid aea7c60a-e4a6-4ce8-9d8b-d6dabb4fcca9))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0125") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+12V") (at 60.96 39.37 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604db1c1)
    (property "Reference" "#PWR0126" (at 60.96 43.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+12V" (at 61.3918 33.7058 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 60.96 39.37 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 60.96 39.37 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid bbf76aa5-5b7a-472d-a31b-33f0eaf2bbcf))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0126") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "74xx:74LS245") (at 147.32 44.45 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604dce39)
    (property "Reference" "U600" (at 147.32 19.5326 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "74HCT245" (at 147.32 21.844 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Package_SO:SOIC-20W_7.5x12.8mm_P1.27mm" (at 147.32 44.45 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS245" (at 147.32 44.45 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 147.32 44.45 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "C2960442" (at 147.32 44.45 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "C5979" (at 147.32 44.45 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "5" (at 147.32 44.45 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 147.32 44.45 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 147.32 44.45 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 129698cb-6506-4162-89a8-d093736dbec3))
    (pin "10" (uuid 099d3734-06b7-4f12-8103-5f340ee49e5e))
    (pin "11" (uuid aeb71758-4699-4116-970f-ff02bf0973fe))
    (pin "12" (uuid 41fb3de5-d436-4d9b-a02f-ff0059bb4edf))
    (pin "13" (uuid e9cf0700-e79c-49d8-bddf-52bd01e14e63))
    (pin "14" (uuid 2c119fcd-8419-4d6a-b1e4-a86b721313c9))
    (pin "15" (uuid fbecdb19-6d63-4d36-8788-0725a2b1b6df))
    (pin "16" (uuid 4a8b394d-6516-43b9-ad29-e3f1c2cbb5c5))
    (pin "17" (uuid b23dbd4a-66f9-4012-95a7-fb8a4126d535))
    (pin "18" (uuid 3b1c07cc-785e-4388-a2e5-18e2f14552d8))
    (pin "19" (uuid d63c0b10-cace-4549-8cd0-af4398228fe8))
    (pin "2" (uuid c08050be-a5d7-4295-862b-f95359607fc7))
    (pin "20" (uuid 8da0bc41-dee2-4df5-9d77-5e30ee646ff1))
    (pin "3" (uuid 0a437ee8-7407-46bd-beaf-7bad8f4899ad))
    (pin "4" (uuid d83977b5-fe70-459a-b168-c938975765ab))
    (pin "5" (uuid a21c434e-c601-4d00-a6aa-b9b8daa42a71))
    (pin "6" (uuid 413935d6-7d11-4109-86b6-49faf21c06cc))
    (pin "7" (uuid 4419bb5c-c24b-4f48-850e-9fa69ac2ab74))
    (pin "8" (uuid 873765f2-1561-4363-aa4e-e8e86f7dc189))
    (pin "9" (uuid c6a47ae0-3bd7-4412-9851-88400b134149))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "U600") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "74xx:74LS245") (at 173.99 81.28 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604def6b)
    (property "Reference" "U601" (at 173.99 56.3626 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "74HCT245" (at 173.99 58.674 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Package_SO:SOIC-20W_7.5x12.8mm_P1.27mm" (at 173.99 81.28 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS245" (at 173.99 81.28 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 173.99 81.28 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "C2960442" (at 173.99 81.28 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "C5979" (at 173.99 81.28 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "5" (at 173.99 81.28 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 173.99 81.28 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 173.99 81.28 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 05f639ee-95f2-43c8-927b-975697ab77c6))
    (pin "10" (uuid 0ab0f097-653b-4f35-a834-339c046d88cf))
    (pin "11" (uuid b99e576b-67cd-46ce-9073-829d7ab85185))
    (pin "12" (uuid 27c2b1e9-9c41-4817-9ba0-f8e1277abfec))
    (pin "13" (uuid bc568e54-48f3-4405-87d6-28832983ac65))
    (pin "14" (uuid 320d5d44-0b62-4a29-ba1d-0c051a7b9345))
    (pin "15" (uuid 0f139dd4-25ab-4a2c-9a76-f0eff37b3bc8))
    (pin "16" (uuid e405b9fb-233c-48d5-939b-eed578afe335))
    (pin "17" (uuid e1c02cda-9d77-4e16-b6c6-b345aeb1e648))
    (pin "18" (uuid f43a8ac9-ac1e-4a0c-b732-7d4c6ba1439c))
    (pin "19" (uuid becfd11b-e2e9-4500-86c8-ffb5246e1514))
    (pin "2" (uuid def74f85-29bb-4533-9420-288677e88ee0))
    (pin "20" (uuid 7723d3e8-a52c-462f-b394-17cc823b5bd6))
    (pin "3" (uuid c9220791-1f97-49ce-8227-668f3a193806))
    (pin "4" (uuid 774e908b-e7f5-42f7-91da-1f5a0bc69679))
    (pin "5" (uuid afeddab3-3681-418c-9c2d-32a528b68891))
    (pin "6" (uuid 7202f001-0cb5-49be-9921-422af0d3f8b3))
    (pin "7" (uuid df3f029a-ddef-4edd-808d-8941fd0663d6))
    (pin "8" (uuid e792328e-955b-42bc-b821-ef2875ae4052))
    (pin "9" (uuid c5db5aca-ad49-471a-9483-5488cc9a33a7))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "U601") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "74xx:74LS245") (at 148.59 123.19 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604dfb80)
    (property "Reference" "U602" (at 148.59 98.2726 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "74HCT245" (at 148.59 100.584 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Package_SO:SOIC-20W_7.5x12.8mm_P1.27mm" (at 148.59 123.19 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS245" (at 148.59 123.19 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 148.59 123.19 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "C2960442" (at 148.59 123.19 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "C5979" (at 148.59 123.19 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "5" (at 148.59 123.19 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 148.59 123.19 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 148.59 123.19 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 40d9a956-b339-45a6-89eb-2b11b26ce664))
    (pin "10" (uuid 37762463-a5d0-42e4-bd0e-94d4920b9ddd))
    (pin "11" (uuid 7e16a2e9-8425-428d-b1a4-56a7c1cfffa3))
    (pin "12" (uuid 31444b46-00c6-452f-a0aa-744c446803f8))
    (pin "13" (uuid ab67541d-f9da-44ac-92c4-3a2d091d63fa))
    (pin "14" (uuid 82a6929a-a055-472e-9ba1-9c1b09ada669))
    (pin "15" (uuid f8d9d53e-836f-4f28-a4a5-b0a93365cbf4))
    (pin "16" (uuid 76f34a0a-288a-4ebe-bf67-42ba4bca2a97))
    (pin "17" (uuid 56960ea8-7bf9-44e4-9e1b-e309cb0acc59))
    (pin "18" (uuid ed3b7fb0-45f0-4110-a4ac-56c3fc269246))
    (pin "19" (uuid 82d7fa05-6c9f-43f0-b4e9-e5b607fd1634))
    (pin "2" (uuid 14d4a9d3-8a6b-447a-b35c-e21d7d81540b))
    (pin "20" (uuid c3bc381e-2ebf-405f-95a7-05c5a3d6e270))
    (pin "3" (uuid 38aeb0f7-a876-4ca9-bddf-c41443d08960))
    (pin "4" (uuid d56db596-c06c-414c-82ed-688b59e20e44))
    (pin "5" (uuid 759f1c54-4f99-49be-90da-38d5e7e381dc))
    (pin "6" (uuid 269337fe-a95a-415a-85fb-226832a36567))
    (pin "7" (uuid 862ae295-9244-412f-b9a1-2953a39835e4))
    (pin "8" (uuid 71a9eb0d-fbae-48bc-b7e4-4bdb5ff3eec1))
    (pin "9" (uuid c6a063b7-3dcd-4382-aeba-2bfa74938bcc))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "U602") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "74xx:74LS245") (at 260.35 50.8 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604ea09a)
    (property "Reference" "U603" (at 260.35 25.8826 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "74HCT245" (at 260.35 28.194 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Package_SO:SOIC-20W_7.5x12.8mm_P1.27mm" (at 260.35 50.8 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS245" (at 260.35 50.8 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 260.35 50.8 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "C2960442" (at 260.35 50.8 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "C5979" (at 260.35 50.8 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "5" (at 260.35 50.8 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 260.35 50.8 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 260.35 50.8 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 100db10a-5010-47d1-9557-3105dd56b353))
    (pin "10" (uuid 11677755-1a4b-4892-a42b-c4c029758e78))
    (pin "11" (uuid c720f853-4c97-4e45-b264-35bea35d2c80))
    (pin "12" (uuid 58e2d848-5ba2-4c59-870e-7935929de12e))
    (pin "13" (uuid c3bb9840-17e0-4c90-bd4e-59acdec1a822))
    (pin "14" (uuid 307ceb0e-2f8b-4146-a483-a61fe1c77828))
    (pin "15" (uuid 7583e332-0dc9-4610-a0f7-6372310c8c29))
    (pin "16" (uuid c4c9879e-708b-4383-9ff7-39066757c83d))
    (pin "17" (uuid 164c9903-1ac2-418f-b64e-82d1f35f5a38))
    (pin "18" (uuid 0b5ef2cb-137d-4f30-8e49-3387fa555399))
    (pin "19" (uuid 7afded54-2bfb-46d6-af98-09e42f30412c))
    (pin "2" (uuid 1d107d78-c373-4e67-8996-736d0ed2614f))
    (pin "20" (uuid 5df6c440-c324-42d6-8ea9-7788e1447bd1))
    (pin "3" (uuid 289fbe05-bb21-4866-b09d-47b4e0dcaf21))
    (pin "4" (uuid 73eba4d1-41cd-4d79-8bda-19b5847c9e75))
    (pin "5" (uuid 79ffffca-f08c-4741-9cab-bfcf7226c3c0))
    (pin "6" (uuid 9c5c80c9-bf9e-4b4d-9c9e-0c3029643636))
    (pin "7" (uuid 3d63e714-f019-4268-b863-37d430502e14))
    (pin "8" (uuid 189ebd3a-cc44-419c-8752-836b05e770ba))
    (pin "9" (uuid 2333e772-350f-48a3-8099-a65e43787623))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "U603") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "74xx:74LS245") (at 260.35 107.95 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604eace6)
    (property "Reference" "U604" (at 260.35 83.0326 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "74HCT245" (at 260.35 85.344 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Package_SO:SOIC-20W_7.5x12.8mm_P1.27mm" (at 260.35 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS245" (at 260.35 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 260.35 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "C2960442" (at 260.35 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "C5979" (at 260.35 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "5" (at 260.35 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 260.35 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 260.35 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid a260bbf2-0a77-4b50-9b30-2dc798aca8be))
    (pin "10" (uuid 0d07ff23-7f42-472d-829e-13fcf6cdfd95))
    (pin "11" (uuid 41fc77ee-e4af-4f90-916a-f4a7833f4acf))
    (pin "12" (uuid f6f7efbc-319c-4de2-8db0-aefa3fa01cb6))
    (pin "13" (uuid 94a06ba2-4cc1-42ac-ba27-6e7f41944cac))
    (pin "14" (uuid 7644acf4-2301-41ff-8785-75ffdba26d5f))
    (pin "15" (uuid ccd12f6e-0bec-4f32-9a4c-b72eff0a5194))
    (pin "16" (uuid b0cc584f-0826-45da-95af-79999c492733))
    (pin "17" (uuid 7d38df65-9748-416c-9772-d4c0118d487b))
    (pin "18" (uuid a0faefdc-ac77-4798-9b51-5c50eca2a78e))
    (pin "19" (uuid 74b85b27-e008-4430-8b62-064990a49555))
    (pin "2" (uuid b92b3543-eb1a-4627-9ced-848fa56602be))
    (pin "20" (uuid c6079c32-98b2-4dd5-8e55-94e7136d3e4e))
    (pin "3" (uuid fdf416c0-a021-4f8b-9382-c6a4efcfd75d))
    (pin "4" (uuid f0524508-ed1d-4f22-9346-0bc23669530a))
    (pin "5" (uuid f5e6ef51-0047-4785-8144-cfbda1c3502f))
    (pin "6" (uuid dd32df40-1118-4925-9263-a35d4b97c71c))
    (pin "7" (uuid f2354017-0f0c-42c9-b26d-ebd4bb7dfc49))
    (pin "8" (uuid 958ffe47-7f1c-4ba2-99d0-4b48c04ac104))
    (pin "9" (uuid e1c47aa3-fee3-4249-9e8e-3ed9e456323e))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "U604") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "74xx:74LS245") (at 95.25 170.18 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000604ebc1a)
    (property "Reference" "U605" (at 95.25 145.2626 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "74HCT245" (at 95.25 147.574 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Package_SO:SOIC-20W_7.5x12.8mm_P1.27mm" (at 95.25 170.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.ti.com/lit/gpn/sn74LS245" (at 95.25 170.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 95.25 170.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "C2960442" (at 95.25 170.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "C5979" (at 95.25 170.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "5" (at 95.25 170.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 95.25 170.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 95.25 170.18 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 57888985-73e7-487d-b804-e52fe4644eb5))
    (pin "10" (uuid b124720d-9ac8-40e7-9f06-98dc55dab5ff))
    (pin "11" (uuid fe7f6940-1258-4b3f-a665-489b65753601))
    (pin "12" (uuid 17e236c3-09ea-4aec-94a4-a2e04bb0fd18))
    (pin "13" (uuid 0016923b-9f0f-4896-93da-1d04806b5453))
    (pin "14" (uuid 967658a9-2f69-48c9-98a9-30e8f57bce12))
    (pin "15" (uuid a9e328f9-9de2-40a7-a0b8-d442e7d313df))
    (pin "16" (uuid 09c42e8f-4cd7-41a8-aaee-59e07caed8c1))
    (pin "17" (uuid 3bb32bea-cfdb-478c-b6e9-661e0d2868d7))
    (pin "18" (uuid 8c64751d-ca46-471f-aa19-5a0e6a59a106))
    (pin "19" (uuid cc46ea98-6fb9-4620-bab7-dab44600c10d))
    (pin "2" (uuid 3ac790c6-353c-4e3b-b0f8-5bf04e5890f6))
    (pin "20" (uuid d38dbf49-79e2-451f-882c-d3d82e508704))
    (pin "3" (uuid db4b9079-3526-4371-a98a-6709b5a225f4))
    (pin "4" (uuid 4f1b4dba-8f3e-48b9-8db8-120106916974))
    (pin "5" (uuid ff18a7fa-224f-475a-a383-a966cf9c0fc2))
    (pin "6" (uuid 0f93e0be-4462-40de-b969-525b2a7ba4fa))
    (pin "7" (uuid a1604f6f-daa1-4dce-b5c2-685871e49a83))
    (pin "8" (uuid c13f5ffc-cea2-43f8-9c75-5a5056df0a4f))
    (pin "9" (uuid dee183b2-782e-41d1-b796-19d00553e4c4))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "U605") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 179.07 101.6 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-00006056f1b9)
    (property "Reference" "#PWR0128" (at 179.07 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 179.197 105.9942 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 179.07 101.6 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 179.07 101.6 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid ed4ac63b-9742-4a82-9bdf-cbaf2459a9be))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0128") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 148.59 143.51 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-00006056f9e8)
    (property "Reference" "#PWR0129" (at 148.59 149.86 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 148.717 147.9042 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 148.59 143.51 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 148.59 143.51 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 97327f8a-524f-4f0d-81da-bb0547a431d0))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0129") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 260.35 71.12 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000605b732b)
    (property "Reference" "#PWR0130" (at 260.35 77.47 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 260.477 75.5142 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 260.35 71.12 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 260.35 71.12 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 9c709416-5124-4079-b1c8-1c6bec48c5a4))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0130") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 260.35 128.27 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000605b78d1)
    (property "Reference" "#PWR0131" (at 260.35 134.62 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 260.477 132.6642 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 260.35 128.27 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 260.35 128.27 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 52f2ca29-cd0f-4683-bc42-8182e18cd7b4))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0131") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R_Network09") (at 204.47 35.56 90) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000606be25a)
    (property "Reference" "RNX1" (at 204.47 19.685 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "3.3k" (at 204.47 21.9964 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Resistor_THT:R_Array_SIP10" (at 204.47 20.955 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (at 204.47 35.56 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 204.47 35.56 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 204.47 35.56 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 204.47 35.56 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "" (at 204.47 35.56 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "4610X-1-332LF-ND" (at 204.47 35.56 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 204.47 35.56 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid ded69e18-9d1c-46ee-9231-7044f27cac78))
    (pin "10" (uuid e9adb0c9-a583-43a1-90d5-510e943ee136))
    (pin "2" (uuid 8dadbcea-92fb-4482-bddd-c52b991e9544))
    (pin "3" (uuid d8889d7c-ae4a-4a31-be00-3beb82394371))
    (pin "4" (uuid 312450d9-3799-43d6-b8d8-af7468b29565))
    (pin "5" (uuid 808e5218-521a-4f31-bd58-a1e77d505a5d))
    (pin "6" (uuid 2776b5d4-726b-4dac-93cf-aeed31d96895))
    (pin "7" (uuid c9640132-df98-4bc6-9792-348f562a1b49))
    (pin "8" (uuid 0b623357-a8a9-4c65-8284-d8a04d12257a))
    (pin "9" (uuid fe3a7f84-7b42-43af-861b-bf6fc08b1561))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "RNX1") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R_Network09") (at 205.74 97.79 90) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060701175)
    (property "Reference" "RNX2" (at 205.74 81.915 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "3.3k" (at 205.74 84.2264 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Resistor_THT:R_Array_SIP10" (at 205.74 83.185 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (at 205.74 97.79 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 205.74 97.79 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 205.74 97.79 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 205.74 97.79 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "" (at 205.74 97.79 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "4610X-1-332LF-ND" (at 205.74 97.79 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 205.74 97.79 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 8317cebc-f19a-4b32-a580-08a10b33f004))
    (pin "10" (uuid a325b8f6-1e46-49d7-8e53-3fa1700bdd2b))
    (pin "2" (uuid 5e2b702a-42e7-405d-a12a-63153c2dc602))
    (pin "3" (uuid 63ec5852-4183-4964-acaa-1fd451154d17))
    (pin "4" (uuid dce16e72-edf7-4b89-8d9e-93635b90b91e))
    (pin "5" (uuid 6c0abdcc-f613-46e1-b6d6-fba352fdd8fe))
    (pin "6" (uuid 17ea18d9-bbfa-4e10-9e4b-68b135958690))
    (pin "7" (uuid ea1a841b-bec3-41b5-b582-f83961f425b3))
    (pin "8" (uuid 6c01b269-7c1d-4534-832d-ecc2b36b1c8e))
    (pin "9" (uuid ee0edb13-eea8-4dfd-91fd-403dfbf25d7d))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "RNX2") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 199.39 45.72 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000607375b5)
    (property "Reference" "#PWR0132" (at 199.39 52.07 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 199.517 50.1142 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 199.39 45.72 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 199.39 45.72 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid d8c26b7c-5b3b-450e-84ea-d5db669ea74a))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0132") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 199.39 107.95 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-00006073affd)
    (property "Reference" "#PWR0133" (at 199.39 114.3 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 199.517 112.3442 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 199.39 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 199.39 107.95 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 70fe97db-9211-455e-b055-62f5f885d7dd))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0133") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 95.25 190.5 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060b411f4)
    (property "Reference" "#PWR0134" (at 95.25 196.85 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 95.377 194.8942 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 95.25 190.5 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 95.25 190.5 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid cc6985d9-21f3-458d-872e-dcf3ba388212))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0134") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+5V") (at 111.76 143.51 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060b454e3)
    (property "Reference" "#PWR0135" (at 111.76 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+5V" (at 111.76 139.7 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 111.76 143.51 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 111.76 143.51 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 5cb6617d-8ce6-4b8b-a5a7-afdf9655a4f0))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0135") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 82.55 182.88 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060b78755)
    (property "Reference" "#PWR0136" (at 82.55 189.23 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 82.677 187.2742 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 82.55 182.88 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 82.55 182.88 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 376dbfe7-cccc-43d1-a927-1966038a2ee4))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0136") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C_Small") (at 82.55 147.32 90) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e11888)
    (property "Reference" "C605" (at 82.55 141.5034 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "0.1uF" (at 82.55 143.8148 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Capacitor_SMD:C_1206_3216Metric" (at 82.55 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 82.55 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "C24497" (at 82.55 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 82.55 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 82.55 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "" (at 82.55 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 82.55 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 82.55 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid bffed7b3-289f-4cfd-a1e9-1866d1b36d03))
    (pin "2" (uuid 810a111c-bef2-4d28-8524-0b0410b5b7ca))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "C605") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+5V") (at 87.63 147.32 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e422b1)
    (property "Reference" "#PWR0137" (at 87.63 151.13 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+5V" (at 88.0618 141.6558 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 87.63 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 87.63 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid cb548666-7bd5-4184-9bff-1f8cc4d567df))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0137") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 80.01 147.32 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e42986)
    (property "Reference" "#PWR0138" (at 80.01 153.67 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 80.137 151.7142 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 80.01 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 80.01 147.32 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid dd4190db-d564-4071-aecc-440fd620db9f))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0138") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C_Small") (at 167.64 105.41 90) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e76de4)
    (property "Reference" "C602" (at 167.64 99.5934 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "0.1uF" (at 167.64 101.9048 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Capacitor_SMD:C_1206_3216Metric" (at 167.64 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 167.64 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "C24497" (at 167.64 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 167.64 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 167.64 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "" (at 167.64 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 167.64 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 167.64 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 71516aba-d74f-4264-8b51-b852d4626c5c))
    (pin "2" (uuid 31463be6-cfa6-453f-973a-0a9b8435f79d))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "C602") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C_Small") (at 161.29 60.96 270) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e79216)
    (property "Reference" "C601" (at 161.29 55.88 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "0.1uF" (at 161.29 58.42 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Capacitor_SMD:C_1206_3216Metric" (at 161.29 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 161.29 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "C24497" (at 161.29 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 161.29 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 161.29 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "" (at 161.29 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 161.29 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 161.29 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid e5c2f2ea-1080-440f-9157-8969a5f132e3))
    (pin "2" (uuid 7692ef0c-7e26-4dde-a2b0-71b69cd27665))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "C601") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C_Small") (at 121.92 25.4 270) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e799da)
    (property "Reference" "C600" (at 121.92 21.59 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "0.1uF" (at 121.92 22.86 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Capacitor_SMD:C_1206_3216Metric" (at 121.92 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 121.92 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "C24497" (at 121.92 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 121.92 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 121.92 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "" (at 121.92 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 121.92 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 121.92 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid fe7f7cc7-7160-4c10-92f9-1f4da63b3bdc))
    (pin "2" (uuid 028fd35c-9cdd-41e5-a66f-690fc3eead33))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "C600") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C_Small") (at 271.78 30.48 90) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e7a171)
    (property "Reference" "C603" (at 271.78 24.6634 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "0.1uF" (at 271.78 26.9748 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Capacitor_SMD:C_1206_3216Metric" (at 271.78 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 271.78 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "C24497" (at 271.78 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 271.78 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 271.78 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "" (at 271.78 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 271.78 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 271.78 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid e03a52eb-325b-447d-906f-3ffb4e649794))
    (pin "2" (uuid a81570de-cc6c-4c40-a5d0-80539495d4e4))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "C603") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:C_Small") (at 248.92 87.63 270) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e7a9e7)
    (property "Reference" "C604" (at 248.92 82.55 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "0.1uF" (at 248.92 85.09 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Capacitor_SMD:C_1206_3216Metric" (at 248.92 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 248.92 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "C24497" (at 248.92 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 248.92 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 248.92 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "" (at 248.92 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 248.92 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 248.92 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 8cedd312-2744-4898-bc93-dcc02d2c6687))
    (pin "2" (uuid efd3b8ab-38c3-435a-b55b-ecc738de7cd8))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "C604") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 246.38 87.63 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e7b497)
    (property "Reference" "#PWR0139" (at 246.38 93.98 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 246.507 92.0242 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 246.38 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 246.38 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid e70561f0-4f38-49ec-a27c-009a4b6fc6f3))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0139") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 274.32 30.48 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e7bcf4)
    (property "Reference" "#PWR0140" (at 274.32 36.83 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 274.447 34.8742 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 274.32 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 274.32 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid c32632fc-bb3e-47d8-9f2c-47c14ac08921))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0140") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 119.38 25.4 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e7ccb0)
    (property "Reference" "#PWR0141" (at 119.38 31.75 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 119.507 29.7942 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 119.38 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 119.38 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 1461b9b3-d625-48cf-ad37-684188f82f8d))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0141") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 158.75 60.96 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e7e9fd)
    (property "Reference" "#PWR0142" (at 158.75 67.31 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 158.877 65.3542 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 158.75 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 158.75 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 1127fed8-8dab-4f0d-96e1-33af57d558f0))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0142") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+5V") (at 157.48 105.41 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060e89aa3)
    (property "Reference" "#PWR0143" (at 157.48 109.22 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+5V" (at 157.48 101.6 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 157.48 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 157.48 105.41 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 550a23a4-0237-4ded-8120-5ed7cf5e8b5c))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0143") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+5V") (at 166.37 60.96 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060fcdd63)
    (property "Reference" "#PWR0144" (at 166.37 64.77 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+5V" (at 166.37 57.15 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 166.37 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 166.37 60.96 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 33bf87f5-8b59-45e6-90ed-12ed2940cc19))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0144") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+5V") (at 128.27 25.4 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060fe91b2)
    (property "Reference" "#PWR0145" (at 128.27 29.21 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+5V" (at 128.27 21.59 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 128.27 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 128.27 25.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 3b862d7f-919b-46c4-b3a2-7ca68f8dc879))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0145") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+5V") (at 266.7 30.48 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060fe967d)
    (property "Reference" "#PWR0146" (at 266.7 34.29 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+5V" (at 267.1318 24.8158 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 266.7 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 266.7 30.48 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 309b8219-70ef-4d2b-abd2-9bc6eb5ec655))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0146") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+5V") (at 254 87.63 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000060fe9cdb)
    (property "Reference" "#PWR0147" (at 254 91.44 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+5V" (at 254.4318 81.9658 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 254 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 254 87.63 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid fe607478-e70f-4a46-a625-efa5a27e8f01))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0147") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 134.62 62.23 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000610452a3)
    (property "Reference" "#PWR0148" (at 134.62 68.58 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 134.747 66.6242 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 134.62 62.23 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 134.62 62.23 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 892e150d-4571-4ab6-af2d-02df49998db3))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0148") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 135.89 135.89 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000061045a56)
    (property "Reference" "#PWR0149" (at 135.89 142.24 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 136.017 140.2842 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 135.89 135.89 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 135.89 135.89 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 17179155-a126-471b-9b1a-72e3ac5d20ec))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0149") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:GND") (at 161.29 93.98 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-0000610463ed)
    (property "Reference" "#PWR0150" (at 161.29 100.33 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "GND" (at 161.417 98.3742 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 161.29 93.98 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 161.29 93.98 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 6f30f8cd-aae3-48f7-ae20-82047ad2ffe7))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR0150") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R_Network05") (at 116.84 148.59 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000061444a0d)
    (property "Reference" "RN908" (at 124.1552 147.4216 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "1k" (at 124.1552 149.733 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "Resistor_THT:R_Array_SIP6" (at 126.365 148.59 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (at 116.84 148.59 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 116.84 148.59 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 116.84 148.59 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "C1357993" (at 116.84 148.59 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "5" (at 116.84 148.59 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "4606X-1-102LF-ND" (at 116.84 148.59 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 116.84 148.59 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid c476285e-ef9d-45e1-8461-a149af8c0784))
    (pin "2" (uuid 019cdd77-5059-43a9-a0dd-842e6883f16a))
    (pin "3" (uuid b241090d-94ca-4f45-b380-f6fb13b09985))
    (pin "4" (uuid e0e0d9ee-e93b-4e91-b311-eb41deeb9932))
    (pin "5" (uuid 4cf5bda6-1fa2-4928-a178-50f6b0574761))
    (pin "6" (uuid e1edcbf5-fb0b-494e-855b-2e884a807015))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "RN908") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R_Network05") (at 137.16 152.4 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 00000000-0000-0000-0000-000061446021)
    (property "Reference" "RN903" (at 144.4752 151.2316 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Value" "1k" (at 144.4752 153.543 0)
      (effects (font (size 1.27 1.27)) (justify left))
    )
    (property "Footprint" "Resistor_THT:R_Array_SIP6" (at 146.685 152.4 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (at 137.16 152.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "" (at 137.16 152.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 137.16 152.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "C1357993" (at 137.16 152.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "5" (at 137.16 152.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "4606X-1-102LF-ND" (at 137.16 152.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 137.16 152.4 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid e7a0e365-2b5a-48ad-bb7f-e772a13ae5b0))
    (pin "2" (uuid f88967b0-4cfd-4e6b-bdee-9abe22c816cd))
    (pin "3" (uuid df882628-0d9c-4bdd-b820-5a2eeb2a3beb))
    (pin "4" (uuid c51ad930-7344-4432-bbf0-4eed7a111204))
    (pin "5" (uuid 9179be73-c176-4fbe-9028-af94e690c9b8))
    (pin "6" (uuid fc71c98c-fd86-4a57-9662-5d84e598d641))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "RN903") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "Device:R") (at 236.22 132.588 180) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 67878c4d-7f1f-4e2c-832f-868d8c5b0d9a)
    (property "Reference" "R217" (at 238.76 132.588 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Value" "4.7k" (at 236.474 132.588 90)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "Resistor_SMD:R_1206_3216Metric" (at 237.998 132.588 90)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "~" (at 236.22 132.588 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "JLCPCB" "C17936" (at 236.22 132.588 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC" "" (at 236.22 132.588 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC Alt" "" (at 236.22 132.588 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "LCSC MOQ" "" (at 236.22 132.588 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey" "" (at 236.22 132.588 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Digikey cheap" "" (at 236.22 132.588 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid 88284328-caa3-46e6-bcdb-2ecb4b7b9b13))
    (pin "2" (uuid 71f7d30b-194b-4b4d-b756-d77c5d3676f0))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060bfac72"
          (reference "R217") (unit 1)
        )
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "R600") (unit 1)
        )
      )
    )
  )

  (symbol (lib_id "power:+5V") (at 236.22 128.778 0) (unit 1)
    (in_bom yes) (on_board yes) (dnp no)
    (uuid 9a51c358-843b-45dd-81ff-fbfc8ac37d05)
    (property "Reference" "#PWR01" (at 236.22 132.588 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Value" "+5V" (at 236.601 124.3838 0)
      (effects (font (size 1.27 1.27)))
    )
    (property "Footprint" "" (at 236.22 128.778 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (property "Datasheet" "" (at 236.22 128.778 0)
      (effects (font (size 1.27 1.27)) hide)
    )
    (pin "1" (uuid bee1b0b5-c1a8-451d-8a99-d80d41dc90e2))
    (instances
      (project "2000ATX"
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060bfac72"
          (reference "#PWR01") (unit 1)
        )
        (path "/bb595301-1c72-49dc-ac6f-007419f3a7fd/00000000-0000-0000-0000-000060696879"
          (reference "#PWR03") (unit 1)
        )
      )
    )
  )
)