b33a3b267e258308663367377325a86fd2ee07ca
[openwrt.git] / toolchain / binutils / patches / 2.21.1 / 700-avr32.patch
1 --- a/bfd/archures.c
2 +++ b/bfd/archures.c
3 @@ -373,6 +373,12 @@ DESCRIPTION
4  .#define bfd_mach_avr5         5
5  .#define bfd_mach_avr51                51
6  .#define bfd_mach_avr6         6
7 +.  bfd_arch_avr32,     {* Atmel AVR32 *}
8 +.#define bfd_mach_avr32_ap     7000
9 +.#define bfd_mach_avr32_uc     3000
10 +.#define bfd_mach_avr32_ucr1    3001
11 +.#define bfd_mach_avr32_ucr2    3002
12 +.#define bfd_mach_avr32_ucr3    3003
13  .  bfd_arch_bfin,        {* ADI Blackfin *}
14  .#define bfd_mach_bfin          1
15  .  bfd_arch_cr16,       {* National Semiconductor CompactRISC (ie CR16). *}
16 @@ -469,6 +475,7 @@ extern const bfd_arch_info_type bfd_alph
17  extern const bfd_arch_info_type bfd_arc_arch;
18  extern const bfd_arch_info_type bfd_arm_arch;
19  extern const bfd_arch_info_type bfd_avr_arch;
20 +extern const bfd_arch_info_type bfd_avr32_arch;
21  extern const bfd_arch_info_type bfd_bfin_arch;
22  extern const bfd_arch_info_type bfd_cr16_arch;
23  extern const bfd_arch_info_type bfd_cr16c_arch;
24 @@ -546,6 +553,7 @@ static const bfd_arch_info_type * const
25      &bfd_arc_arch,
26      &bfd_arm_arch,
27      &bfd_avr_arch,
28 +    &bfd_avr32_arch,
29      &bfd_bfin_arch,
30      &bfd_cr16_arch,
31      &bfd_cr16c_arch,
32 --- a/bfd/bfd-in2.h
33 +++ b/bfd/bfd-in2.h
34 @@ -2053,6 +2053,12 @@ enum bfd_architecture
35  #define bfd_mach_avr5          5
36  #define bfd_mach_avr51         51
37  #define bfd_mach_avr6          6
38 +  bfd_arch_avr32,     /* Atmel AVR32 */
39 +#define bfd_mach_avr32_ap      7000
40 +#define bfd_mach_avr32_uc      3000
41 +#define bfd_mach_avr32_ucr1    3001
42 +#define bfd_mach_avr32_ucr2    3002
43 +#define bfd_mach_avr32_ucr3    3003
44    bfd_arch_bfin,        /* ADI Blackfin */
45  #define bfd_mach_bfin          1
46    bfd_arch_cr16,       /* National Semiconductor CompactRISC (ie CR16). */
47 @@ -3989,6 +3995,88 @@ instructions  */
48    BFD_RELOC_RX_ABS16UL,
49    BFD_RELOC_RX_RELAX,
50  
51 +/* Difference between two labels: L2 - L1. The value of L1 is encoded
52 +as sym + addend, while the initial difference after assembly is
53 +inserted into the object file by the assembler.  */
54 +  BFD_RELOC_AVR32_DIFF32,
55 +  BFD_RELOC_AVR32_DIFF16,
56 +  BFD_RELOC_AVR32_DIFF8,
57 +
58 +/* Reference to a symbol through the Global Offset Table. The linker
59 +will allocate an entry for symbol in the GOT and insert the offset
60 +of this entry as the relocation value.  */
61 +  BFD_RELOC_AVR32_GOT32,
62 +  BFD_RELOC_AVR32_GOT16,
63 +  BFD_RELOC_AVR32_GOT8,
64 +
65 +/* Normal (non-pc-relative) code relocations. Alignment and signedness
66 +is indicated by the suffixes. S means signed, U means unsigned. W
67 +means word-aligned, H means halfword-aligned, neither means
68 +byte-aligned (no alignment.) SUB5 is the same relocation as 16S.  */
69 +  BFD_RELOC_AVR32_21S,
70 +  BFD_RELOC_AVR32_16U,
71 +  BFD_RELOC_AVR32_16S,
72 +  BFD_RELOC_AVR32_SUB5,
73 +  BFD_RELOC_AVR32_8S_EXT,
74 +  BFD_RELOC_AVR32_8S,
75 +  BFD_RELOC_AVR32_15S,
76 +
77 +/* PC-relative relocations are signed if neither 'U' nor 'S' is
78 +specified. However, we explicitly tack on a 'B' to indicate no
79 +alignment, to avoid confusion with data relocs. All of these resolve
80 +to sym + addend - offset, except the one with 'N' (negated) suffix.
81 +This particular one resolves to offset - sym - addend.  */
82 +  BFD_RELOC_AVR32_22H_PCREL,
83 +  BFD_RELOC_AVR32_18W_PCREL,
84 +  BFD_RELOC_AVR32_16B_PCREL,
85 +  BFD_RELOC_AVR32_16N_PCREL,
86 +  BFD_RELOC_AVR32_14UW_PCREL,
87 +  BFD_RELOC_AVR32_11H_PCREL,
88 +  BFD_RELOC_AVR32_10UW_PCREL,
89 +  BFD_RELOC_AVR32_9H_PCREL,
90 +  BFD_RELOC_AVR32_9UW_PCREL,
91 +
92 +/* Subtract the link-time address of the GOT from (symbol + addend)
93 +and insert the result.  */
94 +  BFD_RELOC_AVR32_GOTPC,
95 +
96 +/* Reference to a symbol through the GOT. The linker will allocate an
97 +entry for symbol in the GOT and insert the offset of this entry as
98 +the relocation value. addend must be zero. As usual, 'S' means
99 +signed, 'W' means word-aligned, etc.  */
100 +  BFD_RELOC_AVR32_GOTCALL,
101 +  BFD_RELOC_AVR32_LDA_GOT,
102 +  BFD_RELOC_AVR32_GOT21S,
103 +  BFD_RELOC_AVR32_GOT18SW,
104 +  BFD_RELOC_AVR32_GOT16S,
105 +
106 +/* 32-bit constant pool entry. I don't think 8- and 16-bit entries make
107 +a whole lot of sense.  */
108 +  BFD_RELOC_AVR32_32_CPENT,
109 +
110 +/* Constant pool references. Some of these relocations are signed,
111 +others are unsigned. It doesn't really matter, since the constant
112 +pool always comes after the code that references it.  */
113 +  BFD_RELOC_AVR32_CPCALL,
114 +  BFD_RELOC_AVR32_16_CP,
115 +  BFD_RELOC_AVR32_9W_CP,
116 +
117 +/* sym must be the absolute symbol. The addend specifies the alignment
118 +order, e.g. if addend is 2, the linker must add padding so that the
119 +next address is aligned to a 4-byte boundary.  */
120 +  BFD_RELOC_AVR32_ALIGN,
121 +
122 +/* Code relocations that will never make it to the output file.  */
123 +  BFD_RELOC_AVR32_14UW,
124 +  BFD_RELOC_AVR32_10UW,
125 +  BFD_RELOC_AVR32_10SW,
126 +  BFD_RELOC_AVR32_STHH_W,
127 +  BFD_RELOC_AVR32_7UW,
128 +  BFD_RELOC_AVR32_6S,
129 +  BFD_RELOC_AVR32_6UW,
130 +  BFD_RELOC_AVR32_4UH,
131 +  BFD_RELOC_AVR32_3U,
132 +
133  /* Direct 12 bit.  */
134    BFD_RELOC_390_12,
135  
136 --- a/bfd/config.bfd
137 +++ b/bfd/config.bfd
138 @@ -346,6 +346,10 @@ case "${targ}" in
139      targ_underscore=yes
140      ;;
141  
142 +  avr32-*-*)
143 +    targ_defvec=bfd_elf32_avr32_vec
144 +    ;;
145 +
146    c30-*-*aout* | tic30-*-*aout*)
147      targ_defvec=tic30_aout_vec
148      ;;
149 --- a/bfd/configure
150 +++ b/bfd/configure
151 @@ -15040,6 +15040,7 @@ do
152      bfd_pei_ia64_vec)          tb="$tb pei-ia64.lo pepigen.lo cofflink.lo"; target_size=64 ;;
153      bfd_elf32_am33lin_vec)     tb="$tb elf32-am33lin.lo elf32.lo $elf" ;;
154      bfd_elf32_avr_vec)         tb="$tb elf32-avr.lo elf32.lo $elf" ;;
155 +    bfd_elf32_avr32_vec)       tb="$tb elf32-avr32.lo elf32.lo $elf" ;;
156      bfd_elf32_bfin_vec)                tb="$tb elf32-bfin.lo elf32.lo $elf" ;;
157      bfd_elf32_bfinfdpic_vec)   tb="$tb elf32-bfin.lo elf32.lo $elf" ;;
158      bfd_elf32_big_generic_vec)         tb="$tb elf32-gen.lo elf32.lo $elf" ;;
159 --- a/bfd/configure.in
160 +++ b/bfd/configure.in
161 @@ -675,6 +675,7 @@ do
162      bfd_pei_ia64_vec)          tb="$tb pei-ia64.lo pepigen.lo cofflink.lo"; target_size=64 ;;
163      bfd_elf32_am33lin_vec)     tb="$tb elf32-am33lin.lo elf32.lo $elf" ;;
164      bfd_elf32_avr_vec)         tb="$tb elf32-avr.lo elf32.lo $elf" ;;
165 +    bfd_elf32_avr32_vec)       tb="$tb elf32-avr32.lo elf32.lo $elf" ;;
166      bfd_elf32_bfin_vec)                tb="$tb elf32-bfin.lo elf32.lo $elf" ;;
167      bfd_elf32_bfinfdpic_vec)   tb="$tb elf32-bfin.lo elf32.lo $elf" ;;
168      bfd_elf32_big_generic_vec)         tb="$tb elf32-gen.lo elf32.lo $elf" ;;
169 --- /dev/null
170 +++ b/bfd/cpu-avr32.c
171 @@ -0,0 +1,52 @@
172 +/* BFD library support routines for AVR32.
173 +   Copyright 2003,2004,2005,2006,2007,2008,2009 Atmel Corporation.
174 +
175 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
176 +
177 +   This is part of BFD, the Binary File Descriptor library.
178 +
179 +   This program is free software; you can redistribute it and/or modify
180 +   it under the terms of the GNU General Public License as published by
181 +   the Free Software Foundation; either version 2 of the License, or
182 +   (at your option) any later version.
183 +
184 +   This program is distributed in the hope that it will be useful,
185 +   but WITHOUT ANY WARRANTY; without even the implied warranty of
186 +   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
187 +   GNU General Public License for more details.
188 +
189 +   You should have received a copy of the GNU General Public License
190 +   along with this program; if not, write to the Free Software
191 +   Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.  */
192 +
193 +#include "bfd.h"
194 +#include "sysdep.h"
195 +#include "libbfd.h"
196 +
197 +#define N(machine, print, default, next)                       \
198 +  {                                                            \
199 +    32,                                /* 32 bits in a word */         \
200 +    32,                                /* 32 bits in an address */     \
201 +    8,                         /* 8 bits in a byte */          \
202 +    bfd_arch_avr32,            /* architecture */              \
203 +    machine,                   /* machine */                   \
204 +    "avr32",                   /* arch name */                 \
205 +    print,                     /* printable name */            \
206 +    1,                         /* section align power */       \
207 +    default,                   /* the default machine? */      \
208 +    bfd_default_compatible,                                    \
209 +    bfd_default_scan,                                          \
210 +    next,                                                      \
211 +  }
212 +
213 +static const bfd_arch_info_type cpu_info[] =
214 +{
215 +  N(bfd_mach_avr32_ap, "avr32:ap", FALSE, &cpu_info[1]),
216 +  N(bfd_mach_avr32_uc, "avr32:uc", FALSE, &cpu_info[2]),
217 +  N(bfd_mach_avr32_ucr1, "avr32:ucr1", FALSE, &cpu_info[3]),
218 +  N(bfd_mach_avr32_ucr2, "avr32:ucr2", FALSE, &cpu_info[4]),
219 +  N(bfd_mach_avr32_ucr3, "avr32:ucr3", FALSE, NULL),
220 +};
221 +
222 +const bfd_arch_info_type bfd_avr32_arch =
223 +  N(bfd_mach_avr32_ap, "avr32", TRUE, &cpu_info[0]);
224 --- /dev/null
225 +++ b/bfd/elf32-avr32.c
226 @@ -0,0 +1,3915 @@
227 +/* AVR32-specific support for 32-bit ELF.
228 +   Copyright 2003,2004,2005,2006,2007,2008,2009 Atmel Corporation.
229 +
230 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
231 +
232 +   This file is part of BFD, the Binary File Descriptor library.
233 +
234 +   This program is free software; you can redistribute it and/or modify
235 +   it under the terms of the GNU General Public License as published by
236 +   the Free Software Foundation; either version 2 of the License, or
237 +   (at your option) any later version.
238 +
239 +   This program is distributed in the hope that it will be useful,
240 +   but WITHOUT ANY WARRANTY; without even the implied warranty of
241 +   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
242 +   GNU General Public License for more details.
243 +
244 +   You should have received a copy of the GNU General Public License
245 +   along with this program; if not, write to the Free Software
246 +   Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. */
247 +
248 +#include "bfd.h"
249 +#include "sysdep.h"
250 +#include "bfdlink.h"
251 +#include "libbfd.h"
252 +#include "elf-bfd.h"
253 +#include "elf/avr32.h"
254 +#include "elf32-avr32.h"
255 +
256 +#define xDEBUG
257 +#define xRELAX_DEBUG
258 +
259 +#ifdef DEBUG
260 +# define pr_debug(fmt, args...) fprintf(stderr, fmt, ##args)
261 +#else
262 +# define pr_debug(fmt, args...) do { } while (0)
263 +#endif
264 +
265 +#ifdef RELAX_DEBUG
266 +# define RDBG(fmt, args...) fprintf(stderr, fmt, ##args)
267 +#else
268 +# define RDBG(fmt, args...) do { } while (0)
269 +#endif
270 +
271 +/* When things go wrong, we want it to blow up, damnit! */
272 +#undef BFD_ASSERT
273 +#undef abort
274 +#define BFD_ASSERT(expr)                                       \
275 +  do                                                           \
276 +    {                                                          \
277 +      if (!(expr))                                             \
278 +       {                                                       \
279 +         bfd_assert(__FILE__, __LINE__);                       \
280 +         abort();                                              \
281 +       }                                                       \
282 +    }                                                          \
283 +  while (0)
284 +
285 +/* The name of the dynamic interpreter. This is put in the .interp section. */
286 +#define ELF_DYNAMIC_INTERPRETER                "/lib/ld.so.1"
287 +
288 +#define AVR32_GOT_HEADER_SIZE          8
289 +#define AVR32_FUNCTION_STUB_SIZE       8
290 +
291 +#define ELF_R_INFO(x, y) ELF32_R_INFO(x, y)
292 +#define ELF_R_TYPE(x) ELF32_R_TYPE(x)
293 +#define ELF_R_SYM(x) ELF32_R_SYM(x)
294 +
295 +#define NOP_OPCODE 0xd703
296 +
297 +
298 +/* Mapping between BFD relocations and ELF relocations */
299 +
300 +static reloc_howto_type *
301 +bfd_elf32_bfd_reloc_type_lookup(bfd *abfd, bfd_reloc_code_real_type code);
302 +
303 +static reloc_howto_type *
304 +bfd_elf32_bfd_reloc_name_lookup(bfd *abfd, const char *r_name);
305 +
306 +static void
307 +avr32_info_to_howto (bfd *abfd, arelent *cache_ptr, Elf_Internal_Rela *dst);
308 +
309 +/* Generic HOWTO */
310 +#define GENH(name, align, size, bitsize, pcrel, bitpos, complain, mask)        \
311 +  HOWTO(name, align, size, bitsize, pcrel, bitpos,                     \
312 +       complain_overflow_##complain, bfd_elf_generic_reloc, #name,     \
313 +       FALSE, 0, mask, pcrel)
314 +
315 +static reloc_howto_type elf_avr32_howto_table[] = {
316 +  /*   NAME             ALN SZ BSZ PCREL  BP COMPLAIN  MASK        */
317 +  GENH(R_AVR32_NONE,     0, 0, 0,  FALSE, 0, dont,     0x00000000),
318 +
319 +  GENH(R_AVR32_32,       0, 2, 32, FALSE, 0, dont,     0xffffffff),
320 +  GENH(R_AVR32_16,       0, 1, 16, FALSE, 0, bitfield, 0x0000ffff),
321 +  GENH(R_AVR32_8,        0, 0,  8, FALSE, 0, bitfield, 0x000000ff),
322 +  GENH(R_AVR32_32_PCREL,  0, 2, 32, TRUE,  0, signed,   0xffffffff),
323 +  GENH(R_AVR32_16_PCREL,  0, 1, 16, TRUE,  0, signed,   0x0000ffff),
324 +  GENH(R_AVR32_8_PCREL,          0, 0,  8, TRUE,  0, signed,   0x000000ff),
325 +
326 +  /* Difference between two symbol (sym2 - sym1).  The reloc encodes
327 +     the value of sym1.  The field contains the difference before any
328 +     relaxing is done.  */
329 +  GENH(R_AVR32_DIFF32,   0, 2, 32, FALSE, 0, dont,     0xffffffff),
330 +  GENH(R_AVR32_DIFF16,   0, 1, 16, FALSE, 0, signed,   0x0000ffff),
331 +  GENH(R_AVR32_DIFF8,    0, 0,  8, FALSE, 0, signed,   0x000000ff),
332 +
333 +  GENH(R_AVR32_GOT32,    0, 2, 32, FALSE, 0, signed,   0xffffffff),
334 +  GENH(R_AVR32_GOT16,    0, 1, 16, FALSE, 0, signed,   0x0000ffff),
335 +  GENH(R_AVR32_GOT8,     0, 0,  8, FALSE, 0, signed,   0x000000ff),
336 +
337 +  GENH(R_AVR32_21S,      0, 2, 21, FALSE, 0, signed,   0x1e10ffff),
338 +  GENH(R_AVR32_16U,      0, 2, 16, FALSE, 0, unsigned, 0x0000ffff),
339 +  GENH(R_AVR32_16S,      0, 2, 16, FALSE, 0, signed,   0x0000ffff),
340 +  GENH(R_AVR32_8S,       0, 1,  8, FALSE, 4, signed,   0x00000ff0),
341 +  GENH(R_AVR32_8S_EXT,   0, 2,  8, FALSE, 0, signed,   0x000000ff),
342 +
343 +  GENH(R_AVR32_22H_PCREL, 1, 2, 21, TRUE,  0, signed,  0x1e10ffff),
344 +  GENH(R_AVR32_18W_PCREL, 2, 2, 16, TRUE,  0, signed,  0x0000ffff),
345 +  GENH(R_AVR32_16B_PCREL, 0, 2, 16, TRUE,  0, signed,  0x0000ffff),
346 +  GENH(R_AVR32_16N_PCREL, 0, 2, 16, TRUE,  0, signed,  0x0000ffff),
347 +  GENH(R_AVR32_14UW_PCREL, 2, 2, 12, TRUE, 0, unsigned, 0x0000f0ff),
348 +  GENH(R_AVR32_11H_PCREL, 1, 1, 10, TRUE,  4, signed,  0x00000ff3),
349 +  GENH(R_AVR32_10UW_PCREL, 2, 2, 8, TRUE,  0, unsigned, 0x000000ff),
350 +  GENH(R_AVR32_9H_PCREL,  1, 1,  8, TRUE,  4, signed,  0x00000ff0),
351 +  GENH(R_AVR32_9UW_PCREL, 2, 1,  7, TRUE,  4, unsigned,        0x000007f0),
352 +
353 +  GENH(R_AVR32_HI16,    16, 2, 16, FALSE, 0, dont,     0x0000ffff),
354 +  GENH(R_AVR32_LO16,     0, 2, 16, FALSE, 0, dont,     0x0000ffff),
355 +
356 +  GENH(R_AVR32_GOTPC,    0, 2, 32, FALSE, 0, dont,     0xffffffff),
357 +  GENH(R_AVR32_GOTCALL,   2, 2, 21, FALSE, 0, signed,  0x1e10ffff),
358 +  GENH(R_AVR32_LDA_GOT,          2, 2, 21, FALSE, 0, signed,   0x1e10ffff),
359 +  GENH(R_AVR32_GOT21S,   0, 2, 21, FALSE, 0, signed,   0x1e10ffff),
360 +  GENH(R_AVR32_GOT18SW,          2, 2, 16, FALSE, 0, signed,   0x0000ffff),
361 +  GENH(R_AVR32_GOT16S,   0, 2, 16, FALSE, 0, signed,   0x0000ffff),
362 +  GENH(R_AVR32_GOT7UW,   2, 1,  5, FALSE, 4, unsigned, 0x000001f0),
363 +
364 +  GENH(R_AVR32_32_CPENT,  0, 2, 32, FALSE, 0, dont,    0xffffffff),
365 +  GENH(R_AVR32_CPCALL,   2, 2, 16, TRUE,  0, signed,   0x0000ffff),
366 +  GENH(R_AVR32_16_CP,    0, 2, 16, TRUE,  0, signed,   0x0000ffff),
367 +  GENH(R_AVR32_9W_CP,    2, 1,  7, TRUE,  4, unsigned, 0x000007f0),
368 +
369 +  GENH(R_AVR32_RELATIVE,  0, 2, 32, FALSE, 0, signed,  0xffffffff),
370 +  GENH(R_AVR32_GLOB_DAT,  0, 2, 32, FALSE, 0, dont,    0xffffffff),
371 +  GENH(R_AVR32_JMP_SLOT,  0, 2, 32, FALSE, 0, dont,    0xffffffff),
372 +
373 +  GENH(R_AVR32_ALIGN,    0, 1, 0,  FALSE, 0, unsigned, 0x00000000),
374 +
375 +  GENH(R_AVR32_15S,      2, 2, 15, FALSE, 0, signed,   0x00007fff),
376 +};
377 +
378 +struct elf_reloc_map
379 +{
380 +  bfd_reloc_code_real_type bfd_reloc_val;
381 +  unsigned char elf_reloc_val;
382 +};
383 +
384 +static const struct elf_reloc_map avr32_reloc_map[] =
385 +{
386 +  { BFD_RELOC_NONE,                    R_AVR32_NONE },
387 +
388 +  { BFD_RELOC_32,                      R_AVR32_32 },
389 +  { BFD_RELOC_16,                      R_AVR32_16 },
390 +  { BFD_RELOC_8,                       R_AVR32_8 },
391 +  { BFD_RELOC_32_PCREL,                        R_AVR32_32_PCREL },
392 +  { BFD_RELOC_16_PCREL,                        R_AVR32_16_PCREL },
393 +  { BFD_RELOC_8_PCREL,                 R_AVR32_8_PCREL },
394 +  { BFD_RELOC_AVR32_DIFF32,            R_AVR32_DIFF32 },
395 +  { BFD_RELOC_AVR32_DIFF16,            R_AVR32_DIFF16 },
396 +  { BFD_RELOC_AVR32_DIFF8,             R_AVR32_DIFF8 },
397 +  { BFD_RELOC_AVR32_GOT32,             R_AVR32_GOT32 },
398 +  { BFD_RELOC_AVR32_GOT16,             R_AVR32_GOT16 },
399 +  { BFD_RELOC_AVR32_GOT8,              R_AVR32_GOT8 },
400 +
401 +  { BFD_RELOC_AVR32_21S,               R_AVR32_21S },
402 +  { BFD_RELOC_AVR32_16U,               R_AVR32_16U },
403 +  { BFD_RELOC_AVR32_16S,               R_AVR32_16S },
404 +  { BFD_RELOC_AVR32_SUB5,              R_AVR32_16S },
405 +  { BFD_RELOC_AVR32_8S_EXT,            R_AVR32_8S_EXT },
406 +  { BFD_RELOC_AVR32_8S,                        R_AVR32_8S },
407 +
408 +  { BFD_RELOC_AVR32_22H_PCREL,         R_AVR32_22H_PCREL },
409 +  { BFD_RELOC_AVR32_18W_PCREL,         R_AVR32_18W_PCREL },
410 +  { BFD_RELOC_AVR32_16B_PCREL,         R_AVR32_16B_PCREL },
411 +  { BFD_RELOC_AVR32_16N_PCREL,         R_AVR32_16N_PCREL },
412 +  { BFD_RELOC_AVR32_11H_PCREL,         R_AVR32_11H_PCREL },
413 +  { BFD_RELOC_AVR32_10UW_PCREL,                R_AVR32_10UW_PCREL },
414 +  { BFD_RELOC_AVR32_9H_PCREL,          R_AVR32_9H_PCREL },
415 +  { BFD_RELOC_AVR32_9UW_PCREL,         R_AVR32_9UW_PCREL },
416 +
417 +  { BFD_RELOC_HI16,                    R_AVR32_HI16 },
418 +  { BFD_RELOC_LO16,                    R_AVR32_LO16 },
419 +
420 +  { BFD_RELOC_AVR32_GOTPC,             R_AVR32_GOTPC },
421 +  { BFD_RELOC_AVR32_GOTCALL,           R_AVR32_GOTCALL },
422 +  { BFD_RELOC_AVR32_LDA_GOT,           R_AVR32_LDA_GOT },
423 +  { BFD_RELOC_AVR32_GOT21S,            R_AVR32_GOT21S },
424 +  { BFD_RELOC_AVR32_GOT18SW,           R_AVR32_GOT18SW },
425 +  { BFD_RELOC_AVR32_GOT16S,            R_AVR32_GOT16S },
426 +  /* GOT7UW should never be generated by the assembler */
427 +
428 +  { BFD_RELOC_AVR32_32_CPENT,          R_AVR32_32_CPENT },
429 +  { BFD_RELOC_AVR32_CPCALL,            R_AVR32_CPCALL },
430 +  { BFD_RELOC_AVR32_16_CP,             R_AVR32_16_CP },
431 +  { BFD_RELOC_AVR32_9W_CP,             R_AVR32_9W_CP },
432 +
433 +  { BFD_RELOC_AVR32_ALIGN,             R_AVR32_ALIGN },
434 +
435 +  { BFD_RELOC_AVR32_15S,               R_AVR32_15S },
436 +};
437 +
438 +static reloc_howto_type *
439 +bfd_elf32_bfd_reloc_type_lookup (bfd *abfd ATTRIBUTE_UNUSED,
440 +                                bfd_reloc_code_real_type code)
441 +{
442 +  unsigned int i;
443 +
444 +  for (i = 0; i < sizeof(avr32_reloc_map) / sizeof(struct elf_reloc_map); i++)
445 +    {
446 +      if (avr32_reloc_map[i].bfd_reloc_val == code)
447 +       return &elf_avr32_howto_table[avr32_reloc_map[i].elf_reloc_val];
448 +    }
449 +
450 +  return NULL;
451 +}
452 +
453 +static reloc_howto_type *
454 +bfd_elf32_bfd_reloc_name_lookup (bfd *abfd ATTRIBUTE_UNUSED,
455 +                 const char *r_name)
456 +{
457 +  unsigned int i;
458 +
459 +  for (i = 0;
460 +       i < sizeof (elf_avr32_howto_table) / sizeof (elf_avr32_howto_table[0]);
461 +       i++)
462 +    if (elf_avr32_howto_table[i].name != NULL
463 +    && strcasecmp (elf_avr32_howto_table[i].name, r_name) == 0)
464 +      return &elf_avr32_howto_table[i];
465 +
466 +  return NULL;
467 +}
468 +
469 +/* Set the howto pointer for an AVR32 ELF reloc.  */
470 +static void
471 +avr32_info_to_howto (bfd *abfd ATTRIBUTE_UNUSED,
472 +                    arelent *cache_ptr,
473 +                    Elf_Internal_Rela *dst)
474 +{
475 +  unsigned int r_type;
476 +
477 +  r_type = ELF32_R_TYPE (dst->r_info);
478 +  BFD_ASSERT (r_type < (unsigned int) R_AVR32_max);
479 +  cache_ptr->howto = &elf_avr32_howto_table[r_type];
480 +}
481 +
482 +
483 +/* AVR32 ELF linker hash table and associated hash entries. */
484 +
485 +static struct bfd_hash_entry *
486 +avr32_elf_link_hash_newfunc(struct bfd_hash_entry *entry,
487 +                           struct bfd_hash_table *table,
488 +                           const char *string);
489 +static void
490 +avr32_elf_copy_indirect_symbol(struct bfd_link_info *info,
491 +                              struct elf_link_hash_entry *dir,
492 +                              struct elf_link_hash_entry *ind);
493 +static struct bfd_link_hash_table *
494 +avr32_elf_link_hash_table_create(bfd *abfd);
495 +
496 +/*
497 +  Try to limit memory usage to something reasonable when sorting the
498 +  GOT.  If just a couple of entries end up getting more references
499 +  than this, it won't affect performance at all, but if there are many
500 +  of them, we could end up with the wrong symbols being assigned the
501 +  first GOT entries.
502 +*/
503 +#define MAX_NR_GOT_HOLES       2048
504 +
505 +/*
506 +  AVR32 GOT entry.  We need to keep track of refcounts and offsets
507 +  simultaneously, since we need the offsets during relaxation, and we
508 +  also want to be able to drop GOT entries during relaxation. In
509 +  addition to this, we want to keep the list of GOT entries sorted so
510 +  that we can keep the most-used entries at the lowest offsets.
511 +*/
512 +struct got_entry
513 +{
514 +  struct got_entry *next;
515 +  struct got_entry **pprev;
516 +  int refcount;
517 +  bfd_signed_vma offset;
518 +};
519 +
520 +struct elf_avr32_link_hash_entry
521 +{
522 +  struct elf_link_hash_entry root;
523 +
524 +  /* Number of runtime relocations against this symbol.  */
525 +  unsigned int possibly_dynamic_relocs;
526 +
527 +  /* If there are anything but R_AVR32_GOT18 relocations against this
528 +     symbol, it means that someone may be taking the address of the
529 +     function, and we should therefore not create a stub.  */
530 +  bfd_boolean no_fn_stub;
531 +
532 +  /* If there is a R_AVR32_32 relocation in a read-only section
533 +     against this symbol, we could be in trouble. If we're linking a
534 +     shared library or this symbol is defined in one, it means we must
535 +     emit a run-time reloc for it and that's not allowed in read-only
536 +     sections.  */
537 +  asection *readonly_reloc_sec;
538 +  bfd_vma readonly_reloc_offset;
539 +
540 +  /* Record which frag (if any) contains the symbol.  This is used
541 +     during relaxation in order to avoid having to update all symbols
542 +     whenever we move something.  For local symbols, this information
543 +     is in the local_sym_frag member of struct elf_obj_tdata.  */
544 +  struct fragment *sym_frag;
545 +};
546 +#define avr32_elf_hash_entry(ent) ((struct elf_avr32_link_hash_entry *)(ent))
547 +
548 +struct elf_avr32_link_hash_table
549 +{
550 +  struct elf_link_hash_table root;
551 +
552 +  /* Shortcuts to get to dynamic linker sections.  */
553 +  asection *sgot;
554 +  asection *srelgot;
555 +  asection *sstub;
556 +
557 +  /* We use a variation of Pigeonhole Sort to sort the GOT.  After the
558 +     initial refcounts have been determined, we initialize
559 +     nr_got_holes to the highest refcount ever seen and allocate an
560 +     array of nr_got_holes entries for got_hole.  Each GOT entry is
561 +     then stored in this array at the index given by its refcount.
562 +
563 +     When a GOT entry has its refcount decremented during relaxation,
564 +     it is moved to a lower index in the got_hole array.
565 +   */
566 +  struct got_entry **got_hole;
567 +  int nr_got_holes;
568 +
569 +  /* Dynamic relocations to local symbols.  Only used when linking a
570 +     shared library and -Bsymbolic is not given.  */
571 +  unsigned int local_dynamic_relocs;
572 +
573 +  bfd_boolean relocations_analyzed;
574 +  bfd_boolean symbols_adjusted;
575 +  bfd_boolean repeat_pass;
576 +  bfd_boolean direct_data_refs;
577 +  unsigned int relax_iteration;
578 +  unsigned int relax_pass;
579 +};
580 +#define avr32_elf_hash_table(p)                                \
581 +  ((struct elf_avr32_link_hash_table *)((p)->hash))
582 +
583 +static struct bfd_hash_entry *
584 +avr32_elf_link_hash_newfunc(struct bfd_hash_entry *entry,
585 +                           struct bfd_hash_table *table,
586 +                           const char *string)
587 +{
588 +  struct elf_avr32_link_hash_entry *ret = avr32_elf_hash_entry(entry);
589 +
590 +  /* Allocate the structure if it hasn't already been allocated by a
591 +     subclass */
592 +  if (ret == NULL)
593 +    ret = (struct elf_avr32_link_hash_entry *)
594 +      bfd_hash_allocate(table, sizeof(struct elf_avr32_link_hash_entry));
595 +
596 +  if (ret == NULL)
597 +    return NULL;
598 +
599 +  memset(ret, 0, sizeof(struct elf_avr32_link_hash_entry));
600 +
601 +  /* Give the superclass a chance */
602 +  ret = (struct elf_avr32_link_hash_entry *)
603 +    _bfd_elf_link_hash_newfunc((struct bfd_hash_entry *)ret, table, string);
604 +
605 +  return (struct bfd_hash_entry *)ret;
606 +}
607 +
608 +/* Copy data from an indirect symbol to its direct symbol, hiding the
609 +   old indirect symbol.  Process additional relocation information.
610 +   Also called for weakdefs, in which case we just let
611 +   _bfd_elf_link_hash_copy_indirect copy the flags for us.  */
612 +
613 +static void
614 +avr32_elf_copy_indirect_symbol(struct bfd_link_info *info,
615 +                              struct elf_link_hash_entry *dir,
616 +                              struct elf_link_hash_entry *ind)
617 +{
618 +  struct elf_avr32_link_hash_entry *edir, *eind;
619 +
620 +  _bfd_elf_link_hash_copy_indirect (info, dir, ind);
621 +
622 +  if (ind->root.type != bfd_link_hash_indirect)
623 +    return;
624 +
625 +  edir = (struct elf_avr32_link_hash_entry *)dir;
626 +  eind = (struct elf_avr32_link_hash_entry *)ind;
627 +
628 +  edir->possibly_dynamic_relocs += eind->possibly_dynamic_relocs;
629 +  edir->no_fn_stub = edir->no_fn_stub || eind->no_fn_stub;
630 +}
631 +
632 +static struct bfd_link_hash_table *
633 +avr32_elf_link_hash_table_create(bfd *abfd)
634 +{
635 +  struct elf_avr32_link_hash_table *ret;
636 +
637 +  ret = bfd_zmalloc(sizeof(*ret));
638 +  if (ret == NULL)
639 +    return NULL;
640 +
641 +  if (! _bfd_elf_link_hash_table_init(&ret->root, abfd,
642 +                                     avr32_elf_link_hash_newfunc,
643 +                      sizeof (struct elf_avr32_link_hash_entry)))
644 +    {
645 +      free(ret);
646 +      return NULL;
647 +    }
648 +
649 +  /* Prevent the BFD core from creating bogus got_entry pointers */
650 +  ret->root.init_got_refcount.glist = NULL;
651 +  ret->root.init_plt_refcount.glist = NULL;
652 +  ret->root.init_got_offset.glist = NULL;
653 +  ret->root.init_plt_offset.glist = NULL;
654 +
655 +  return &ret->root.root;
656 +}
657 +
658 +
659 +/* Initial analysis and creation of dynamic sections and symbols */
660 +
661 +static asection *
662 +create_dynamic_section(bfd *dynobj, const char *name, flagword flags,
663 +                      unsigned int align_power);
664 +static struct elf_link_hash_entry *
665 +create_dynamic_symbol(bfd *dynobj, struct bfd_link_info *info,
666 +                     const char *name, asection *sec,
667 +                     bfd_vma offset);
668 +static bfd_boolean
669 +avr32_elf_create_got_section (bfd *dynobj, struct bfd_link_info *info);
670 +static bfd_boolean
671 +avr32_elf_create_dynamic_sections (bfd *dynobj, struct bfd_link_info *info);
672 +static bfd_boolean
673 +avr32_check_relocs (bfd *abfd, struct bfd_link_info *info, asection *sec,
674 +                   const Elf_Internal_Rela *relocs);
675 +static bfd_boolean
676 +avr32_elf_adjust_dynamic_symbol(struct bfd_link_info *info,
677 +                               struct elf_link_hash_entry *h);
678 +
679 +static asection *
680 +create_dynamic_section(bfd *dynobj, const char *name, flagword flags,
681 +                      unsigned int align_power)
682 +{
683 +  asection *sec;
684 +
685 +  sec = bfd_make_section(dynobj, name);
686 +  if (!sec
687 +      || !bfd_set_section_flags(dynobj, sec, flags)
688 +      || !bfd_set_section_alignment(dynobj, sec, align_power))
689 +    return NULL;
690 +
691 +  return sec;
692 +}
693 +
694 +static struct elf_link_hash_entry *
695 +create_dynamic_symbol(bfd *dynobj, struct bfd_link_info *info,
696 +                     const char *name, asection *sec,
697 +                     bfd_vma offset)
698 +{
699 +  struct bfd_link_hash_entry *bh = NULL;
700 +  struct elf_link_hash_entry *h;
701 +  const struct elf_backend_data *bed = get_elf_backend_data (dynobj);
702 +
703 +  if (!(_bfd_generic_link_add_one_symbol
704 +       (info, dynobj, name, BSF_GLOBAL, sec, offset, NULL, FALSE,
705 +        bed->collect, &bh)))
706 +    return NULL;
707 +
708 +  h = (struct elf_link_hash_entry *)bh;
709 +  h->def_regular = 1;
710 +  h->type = STT_OBJECT;
711 +  h->other = STV_HIDDEN;
712 +
713 +  return h;
714 +}
715 +
716 +static bfd_boolean
717 +avr32_elf_create_got_section (bfd *dynobj, struct bfd_link_info *info)
718 +{
719 +  struct elf_avr32_link_hash_table *htab;
720 +  flagword flags;
721 +  const struct elf_backend_data *bed = get_elf_backend_data (dynobj);
722 +
723 +  htab = avr32_elf_hash_table(info);
724 +  flags = bed->dynamic_sec_flags;
725 +
726 +  if (htab->sgot)
727 +    return TRUE;
728 +
729 +  htab->sgot = create_dynamic_section(dynobj, ".got", flags, 2);
730 +  if (!htab->srelgot)
731 +    htab->srelgot = create_dynamic_section(dynobj, ".rela.got",
732 +                                          flags | SEC_READONLY, 2);
733 +
734 +  if (!htab->sgot || !htab->srelgot)
735 +    return FALSE;
736 +
737 +  htab->root.hgot = create_dynamic_symbol(dynobj, info, "_GLOBAL_OFFSET_TABLE_",
738 +                                         htab->sgot, 0);
739 +  if (!htab->root.hgot)
740 +    return FALSE;
741 +
742 +  /* Make room for the GOT header */
743 +  htab->sgot->size += bed->got_header_size;
744 +
745 +  return TRUE;
746 +}
747 +
748 +/* (1) Create all dynamic (i.e. linker generated) sections that we may
749 +   need during the link */
750 +
751 +static bfd_boolean
752 +avr32_elf_create_dynamic_sections (bfd *dynobj, struct bfd_link_info *info)
753 +{
754 +  struct elf_avr32_link_hash_table *htab;
755 +  flagword flags;
756 +  const struct elf_backend_data *bed = get_elf_backend_data (dynobj);
757 +
758 +  pr_debug("(1) create dynamic sections\n");
759 +
760 +  htab = avr32_elf_hash_table(info);
761 +  flags = bed->dynamic_sec_flags;
762 +
763 +  if (!avr32_elf_create_got_section (dynobj, info))
764 +    return FALSE;
765 +
766 +  if (!htab->sstub)
767 +    htab->sstub = create_dynamic_section(dynobj, ".stub",
768 +                                        flags | SEC_READONLY | SEC_CODE, 2);
769 +
770 +  if (!htab->sstub)
771 +    return FALSE;
772 +
773 +  return TRUE;
774 +}
775 +
776 +/* (2) Go through all the relocs and count any potential GOT- or
777 +   PLT-references to each symbol */
778 +
779 +static bfd_boolean
780 +avr32_check_relocs (bfd *abfd, struct bfd_link_info *info, asection *sec,
781 +                   const Elf_Internal_Rela *relocs)
782 +{
783 +  Elf_Internal_Shdr *symtab_hdr;
784 +  struct elf_avr32_link_hash_table *htab;
785 +  struct elf_link_hash_entry **sym_hashes;
786 +  const Elf_Internal_Rela *rel, *rel_end;
787 +  struct got_entry **local_got_ents;
788 +  struct got_entry *got;
789 +  const struct elf_backend_data *bed = get_elf_backend_data (abfd);
790 +  asection *sgot;
791 +  bfd *dynobj;
792 +
793 +  pr_debug("(2) check relocs for %s:<%s> (size 0x%lx)\n",
794 +          abfd->filename, sec->name, sec->size);
795 +
796 +  if (info->relocatable)
797 +    return TRUE;
798 +
799 +  dynobj = elf_hash_table(info)->dynobj;
800 +  symtab_hdr = &elf_tdata(abfd)->symtab_hdr;
801 +  sym_hashes = elf_sym_hashes(abfd);
802 +  htab = avr32_elf_hash_table(info);
803 +  local_got_ents = elf_local_got_ents(abfd);
804 +  sgot = htab->sgot;
805 +
806 +  rel_end = relocs + sec->reloc_count;
807 +  for (rel = relocs; rel < rel_end; rel++)
808 +    {
809 +      unsigned long r_symndx, r_type;
810 +      struct elf_avr32_link_hash_entry *h;
811 +
812 +      r_symndx = ELF32_R_SYM(rel->r_info);
813 +      r_type = ELF32_R_TYPE(rel->r_info);
814 +
815 +      /* Local symbols use local_got_ents, while others store the same
816 +        information in the hash entry */
817 +      if (r_symndx < symtab_hdr->sh_info)
818 +       {
819 +         pr_debug("  (2a) processing local symbol %lu\n", r_symndx);
820 +         h = NULL;
821 +       }
822 +      else
823 +       {
824 +         h = (struct elf_avr32_link_hash_entry *)
825 +           sym_hashes[r_symndx - symtab_hdr->sh_info];
826 +         while (h->root.type == bfd_link_hash_indirect
827 +                || h->root.type == bfd_link_hash_warning)
828 +           h = (struct elf_avr32_link_hash_entry *)h->root.root.u.i.link;
829 +         pr_debug("  (2a) processing symbol %s\n", h->root.root.root.string);
830 +       }
831 +
832 +      /* Some relocs require special sections to be created.  */
833 +      switch (r_type)
834 +       {
835 +       case R_AVR32_GOT32:
836 +       case R_AVR32_GOT16:
837 +       case R_AVR32_GOT8:
838 +       case R_AVR32_GOT21S:
839 +       case R_AVR32_GOT18SW:
840 +       case R_AVR32_GOT16S:
841 +       case R_AVR32_GOT7UW:
842 +       case R_AVR32_LDA_GOT:
843 +       case R_AVR32_GOTCALL:
844 +         if (rel->r_addend)
845 +           {
846 +             if (info->callbacks->reloc_dangerous
847 +                 (info, _("Non-zero addend on GOT-relative relocation"),
848 +                  abfd, sec, rel->r_offset) == FALSE)
849 +               return FALSE;
850 +           }
851 +         /* fall through */
852 +       case R_AVR32_GOTPC:
853 +         if (dynobj == NULL)
854 +           elf_hash_table(info)->dynobj = dynobj = abfd;
855 +         if (sgot == NULL && !avr32_elf_create_got_section(dynobj, info))
856 +           return FALSE;
857 +         break;
858 +       case R_AVR32_32:
859 +         /* We may need to create .rela.dyn later on.  */
860 +         if (dynobj == NULL
861 +             && (info->shared || h != NULL)
862 +             && (sec->flags & SEC_ALLOC))
863 +           elf_hash_table(info)->dynobj = dynobj = abfd;
864 +         break;
865 +       }
866 +
867 +      if (h != NULL && r_type != R_AVR32_GOT18SW)
868 +       h->no_fn_stub = TRUE;
869 +
870 +      switch (r_type)
871 +       {
872 +       case R_AVR32_GOT32:
873 +       case R_AVR32_GOT16:
874 +       case R_AVR32_GOT8:
875 +       case R_AVR32_GOT21S:
876 +       case R_AVR32_GOT18SW:
877 +       case R_AVR32_GOT16S:
878 +       case R_AVR32_GOT7UW:
879 +       case R_AVR32_LDA_GOT:
880 +       case R_AVR32_GOTCALL:
881 +         if (h != NULL)
882 +           {
883 +             got = h->root.got.glist;
884 +             if (!got)
885 +               {
886 +                 got = bfd_zalloc(abfd, sizeof(struct got_entry));
887 +                 if (!got)
888 +                   return FALSE;
889 +                 h->root.got.glist = got;
890 +               }
891 +           }
892 +         else
893 +           {
894 +             if (!local_got_ents)
895 +               {
896 +                 bfd_size_type size;
897 +                 bfd_size_type i;
898 +                 struct got_entry *tmp_entry;
899 +
900 +                 size = symtab_hdr->sh_info;
901 +                 size *= sizeof(struct got_entry *) + sizeof(struct got_entry);
902 +                 local_got_ents = bfd_zalloc(abfd, size);
903 +                 if (!local_got_ents)
904 +                   return FALSE;
905 +
906 +                 elf_local_got_ents(abfd) = local_got_ents;
907 +
908 +                 tmp_entry = (struct got_entry *)(local_got_ents
909 +                                                  + symtab_hdr->sh_info);
910 +                 for (i = 0; i < symtab_hdr->sh_info; i++)
911 +                   local_got_ents[i] = &tmp_entry[i];
912 +               }
913 +
914 +             got = local_got_ents[r_symndx];
915 +           }
916 +
917 +         got->refcount++;
918 +         if (got->refcount > htab->nr_got_holes)
919 +           htab->nr_got_holes = got->refcount;
920 +         break;
921 +
922 +       case R_AVR32_32:
923 +         if ((info->shared || h != NULL)
924 +             && (sec->flags & SEC_ALLOC))
925 +           {
926 +             if (htab->srelgot == NULL)
927 +               {
928 +                 htab->srelgot = create_dynamic_section(dynobj, ".rela.got",
929 +                                                        bed->dynamic_sec_flags
930 +                                                        | SEC_READONLY, 2);
931 +                 if (htab->srelgot == NULL)
932 +                   return FALSE;
933 +               }
934 +
935 +             if (sec->flags & SEC_READONLY
936 +                 && !h->readonly_reloc_sec)
937 +               {
938 +                 h->readonly_reloc_sec = sec;
939 +                 h->readonly_reloc_offset = rel->r_offset;
940 +               }
941 +
942 +             if (h != NULL)
943 +               {
944 +                 pr_debug("Non-GOT reference to symbol %s\n",
945 +                          h->root.root.root.string);
946 +                 h->possibly_dynamic_relocs++;
947 +               }
948 +             else
949 +               {
950 +                 pr_debug("Non-GOT reference to local symbol %lu\n",
951 +                          r_symndx);
952 +                 htab->local_dynamic_relocs++;
953 +               }
954 +           }
955 +
956 +         break;
957 +
958 +         /* TODO: GNU_VTINHERIT and GNU_VTENTRY */
959 +       }
960 +    }
961 +
962 +  return TRUE;
963 +}
964 +
965 +/* (3) Adjust a symbol defined by a dynamic object and referenced by a
966 +   regular object.  The current definition is in some section of the
967 +   dynamic object, but we're not including those sections.  We have to
968 +   change the definition to something the rest of the link can
969 +   understand.  */
970 +
971 +static bfd_boolean
972 +avr32_elf_adjust_dynamic_symbol(struct bfd_link_info *info,
973 +                               struct elf_link_hash_entry *h)
974 +{
975 +  struct elf_avr32_link_hash_table *htab;
976 +  struct elf_avr32_link_hash_entry *havr;
977 +  bfd *dynobj;
978 +
979 +  pr_debug("(3) adjust dynamic symbol %s\n", h->root.root.string);
980 +
981 +  htab = avr32_elf_hash_table(info);
982 +  havr = (struct elf_avr32_link_hash_entry *)h;
983 +  dynobj = elf_hash_table(info)->dynobj;
984 +
985 +  /* Make sure we know what is going on here.  */
986 +  BFD_ASSERT (dynobj != NULL
987 +             && (h->u.weakdef != NULL
988 +                 || (h->def_dynamic
989 +                     && h->ref_regular
990 +                     && !h->def_regular)));
991 +
992 +  /* We don't want dynamic relocations in read-only sections. */
993 +  if (havr->readonly_reloc_sec)
994 +    {
995 +      if (info->callbacks->reloc_dangerous
996 +         (info, _("dynamic relocation in read-only section"),
997 +          havr->readonly_reloc_sec->owner, havr->readonly_reloc_sec,
998 +          havr->readonly_reloc_offset) == FALSE)
999 +       return FALSE;
1000 +    }
1001 +
1002 +  /* If this is a function, create a stub if possible and set the
1003 +     symbol to the stub location.  */
1004 +  if (0 && !havr->no_fn_stub)
1005 +    {
1006 +      if (!h->def_regular)
1007 +       {
1008 +         asection *s = htab->sstub;
1009 +
1010 +         BFD_ASSERT(s != NULL);
1011 +
1012 +         h->root.u.def.section = s;
1013 +         h->root.u.def.value = s->size;
1014 +         h->plt.offset = s->size;
1015 +         s->size += AVR32_FUNCTION_STUB_SIZE;
1016 +
1017 +         return TRUE;
1018 +       }
1019 +    }
1020 +  else if (h->type == STT_FUNC)
1021 +    {
1022 +      /* This will set the entry for this symbol in the GOT to 0, and
1023 +        the dynamic linker will take care of this. */
1024 +      h->root.u.def.value = 0;
1025 +      return TRUE;
1026 +    }
1027 +
1028 +  /* If this is a weak symbol, and there is a real definition, the
1029 +     processor independent code will have arranged for us to see the
1030 +     real definition first, and we can just use the same value.  */
1031 +  if (h->u.weakdef != NULL)
1032 +    {
1033 +      BFD_ASSERT(h->u.weakdef->root.type == bfd_link_hash_defined
1034 +                || h->u.weakdef->root.type == bfd_link_hash_defweak);
1035 +      h->root.u.def.section = h->u.weakdef->root.u.def.section;
1036 +      h->root.u.def.value = h->u.weakdef->root.u.def.value;
1037 +      return TRUE;
1038 +    }
1039 +
1040 +  /* This is a reference to a symbol defined by a dynamic object which
1041 +     is not a function.  */
1042 +
1043 +  return TRUE;
1044 +}
1045 +
1046 +
1047 +/* Garbage-collection of unused sections */
1048 +
1049 +static asection *
1050 +avr32_elf_gc_mark_hook(asection *sec,
1051 +                      struct bfd_link_info *info ATTRIBUTE_UNUSED,
1052 +                      Elf_Internal_Rela *rel,
1053 +                      struct elf_link_hash_entry *h,
1054 +                      Elf_Internal_Sym *sym)
1055 +{
1056 +  if (h)
1057 +    {
1058 +      switch (ELF32_R_TYPE(rel->r_info))
1059 +       {
1060 +         /* TODO: VTINHERIT/VTENTRY */
1061 +       default:
1062 +         switch (h->root.type)
1063 +           {
1064 +           case bfd_link_hash_defined:
1065 +           case bfd_link_hash_defweak:
1066 +             return h->root.u.def.section;
1067 +
1068 +           case bfd_link_hash_common:
1069 +             return h->root.u.c.p->section;
1070 +
1071 +           default:
1072 +             break;
1073 +           }
1074 +       }
1075 +    }
1076 +  else
1077 +    return bfd_section_from_elf_index(sec->owner, sym->st_shndx);
1078 +
1079 +  return NULL;
1080 +}
1081 +
1082 +/* Update the GOT entry reference counts for the section being removed. */
1083 +static bfd_boolean
1084 +avr32_elf_gc_sweep_hook(bfd *abfd,
1085 +                       struct bfd_link_info *info ATTRIBUTE_UNUSED,
1086 +                       asection *sec,
1087 +                       const Elf_Internal_Rela *relocs)
1088 +{
1089 +  Elf_Internal_Shdr *symtab_hdr;
1090 +  struct elf_avr32_link_hash_entry **sym_hashes;
1091 +  struct got_entry **local_got_ents;
1092 +  const Elf_Internal_Rela *rel, *relend;
1093 +
1094 +  if (!(sec->flags & SEC_ALLOC))
1095 +    return TRUE;
1096 +
1097 +  symtab_hdr = &elf_tdata(abfd)->symtab_hdr;
1098 +  sym_hashes = (struct elf_avr32_link_hash_entry **)elf_sym_hashes(abfd);
1099 +  local_got_ents = elf_local_got_ents(abfd);
1100 +
1101 +  relend = relocs + sec->reloc_count;
1102 +  for (rel = relocs; rel < relend; rel++)
1103 +    {
1104 +      unsigned long r_symndx;
1105 +      unsigned int r_type;
1106 +      struct elf_avr32_link_hash_entry *h = NULL;
1107 +
1108 +      r_symndx = ELF32_R_SYM(rel->r_info);
1109 +      if (r_symndx >= symtab_hdr->sh_info)
1110 +       {
1111 +         h = sym_hashes[r_symndx - symtab_hdr->sh_info];
1112 +         while (h->root.root.type == bfd_link_hash_indirect
1113 +                || h->root.root.type == bfd_link_hash_warning)
1114 +           h = (struct elf_avr32_link_hash_entry *)h->root.root.u.i.link;
1115 +       }
1116 +
1117 +      r_type = ELF32_R_TYPE(rel->r_info);
1118 +
1119 +      switch (r_type)
1120 +       {
1121 +       case R_AVR32_GOT32:
1122 +       case R_AVR32_GOT16:
1123 +       case R_AVR32_GOT8:
1124 +       case R_AVR32_GOT21S:
1125 +       case R_AVR32_GOT18SW:
1126 +       case R_AVR32_GOT16S:
1127 +       case R_AVR32_GOT7UW:
1128 +       case R_AVR32_LDA_GOT:
1129 +       case R_AVR32_GOTCALL:
1130 +         if (h)
1131 +           h->root.got.glist->refcount--;
1132 +         else
1133 +           local_got_ents[r_symndx]->refcount--;
1134 +         break;
1135 +
1136 +       case R_AVR32_32:
1137 +         if (info->shared || h)
1138 +           {
1139 +             if (h)
1140 +               h->possibly_dynamic_relocs--;
1141 +             else
1142 +               avr32_elf_hash_table(info)->local_dynamic_relocs--;
1143 +           }
1144 +
1145 +       default:
1146 +         break;
1147 +       }
1148 +    }
1149 +
1150 +  return TRUE;
1151 +}
1152 +
1153 +/* Sizing and refcounting of dynamic sections */
1154 +
1155 +static void
1156 +insert_got_entry(struct elf_avr32_link_hash_table *htab, struct got_entry *got);
1157 +static void
1158 +unref_got_entry(struct elf_avr32_link_hash_table *htab, struct got_entry *got);
1159 +static void
1160 +ref_got_entry(struct elf_avr32_link_hash_table *htab, struct got_entry *got);
1161 +static bfd_boolean
1162 +assign_got_offsets(struct elf_avr32_link_hash_table *htab);
1163 +static bfd_boolean
1164 +allocate_dynrelocs(struct elf_link_hash_entry *h, void *_info);
1165 +static bfd_boolean
1166 +avr32_elf_size_dynamic_sections (bfd *output_bfd,
1167 +                                struct bfd_link_info *info);
1168 +
1169 +static void
1170 +insert_got_entry(struct elf_avr32_link_hash_table *htab, struct got_entry *got)
1171 +{
1172 +  /* Any entries with got_refcount > htab->nr_got_holes end up in the
1173 +   * last pigeonhole without any sorting. We expect the number of such
1174 +   * entries to be small, so it is very unlikely to affect
1175 +   * performance.  */
1176 +  int entry = got->refcount;
1177 +
1178 +  if (entry > htab->nr_got_holes)
1179 +    entry = htab->nr_got_holes;
1180 +
1181 +  got->pprev = &htab->got_hole[entry];
1182 +  got->next = htab->got_hole[entry];
1183 +
1184 +  if (got->next)
1185 +    got->next->pprev = &got->next;
1186 +
1187 +  htab->got_hole[entry] = got;
1188 +}
1189 +
1190 +/* Decrement the refcount of a GOT entry and update its position in
1191 +   the pigeonhole array.  */
1192 +static void
1193 +unref_got_entry(struct elf_avr32_link_hash_table *htab, struct got_entry *got)
1194 +{
1195 +  BFD_ASSERT(got->refcount > 0);
1196 +
1197 +  if (got->next)
1198 +    got->next->pprev = got->pprev;
1199 +
1200 +  *(got->pprev) = got->next;
1201 +  got->refcount--;
1202 +  insert_got_entry(htab, got);
1203 +}
1204 +
1205 +static void
1206 +ref_got_entry(struct elf_avr32_link_hash_table *htab, struct got_entry *got)
1207 +{
1208 +  if (got->next)
1209 +    got->next->pprev = got->pprev;
1210 +
1211 +  *(got->pprev) = got->next;
1212 +  got->refcount++;
1213 +  insert_got_entry(htab, got);
1214 +
1215 +  BFD_ASSERT(got->refcount > 0);
1216 +}
1217 +
1218 +/* Assign offsets to all GOT entries we intend to keep.  The entries
1219 +   that are referenced most often are placed at low offsets so that we
1220 +   can use compact instructions as much as possible.
1221 +
1222 +   Returns TRUE if any offsets or the total size of the GOT changed.  */
1223 +
1224 +static bfd_boolean
1225 +assign_got_offsets(struct elf_avr32_link_hash_table *htab)
1226 +{
1227 +  struct got_entry *got;
1228 +  bfd_size_type got_size = 0;
1229 +  bfd_boolean changed = FALSE;
1230 +  bfd_signed_vma offset;
1231 +  int i;
1232 +
1233 +  /* The GOT header provides the address of the DYNAMIC segment, so
1234 +     we need that even if the GOT is otherwise empty.  */
1235 +  if (htab->root.dynamic_sections_created)
1236 +    got_size = AVR32_GOT_HEADER_SIZE;
1237 +
1238 +  for (i = htab->nr_got_holes; i > 0; i--)
1239 +    {
1240 +      got = htab->got_hole[i];
1241 +      while (got)
1242 +       {
1243 +         if (got->refcount > 0)
1244 +           {
1245 +             offset = got_size;
1246 +             if (got->offset != offset)
1247 +               {
1248 +                 RDBG("GOT offset changed: %ld -> %ld\n",
1249 +                      got->offset, offset);
1250 +                 changed = TRUE;
1251 +               }
1252 +             got->offset = offset;
1253 +             got_size += 4;
1254 +           }
1255 +         got = got->next;
1256 +       }
1257 +    }
1258 +
1259 +  if (htab->sgot->size != got_size)
1260 +    {
1261 +      RDBG("GOT size changed: %lu -> %lu\n", htab->sgot->size,
1262 +          got_size);
1263 +      changed = TRUE;
1264 +    }
1265 +  htab->sgot->size = got_size;
1266 +
1267 +  RDBG("assign_got_offsets: total size %lu (%s)\n",
1268 +       got_size, changed ? "changed" : "no change");
1269 +
1270 +  return changed;
1271 +}
1272 +
1273 +static bfd_boolean
1274 +allocate_dynrelocs(struct elf_link_hash_entry *h, void *_info)
1275 +{
1276 +  struct bfd_link_info *info = _info;
1277 +  struct elf_avr32_link_hash_table *htab;
1278 +  struct elf_avr32_link_hash_entry *havr;
1279 +  struct got_entry *got;
1280 +
1281 +  pr_debug("  (4b) allocate_dynrelocs: %s\n", h->root.root.string);
1282 +
1283 +  if (h->root.type == bfd_link_hash_indirect)
1284 +    return TRUE;
1285 +
1286 +  if (h->root.type == bfd_link_hash_warning)
1287 +    /* When warning symbols are created, they **replace** the "real"
1288 +       entry in the hash table, thus we never get to see the real
1289 +       symbol in a hash traversal.  So look at it now.  */
1290 +    h = (struct elf_link_hash_entry *) h->root.u.i.link;
1291 +
1292 +  htab = avr32_elf_hash_table(info);
1293 +  havr = (struct elf_avr32_link_hash_entry *)h;
1294 +
1295 +  got = h->got.glist;
1296 +
1297 +  /* If got is NULL, the symbol is never referenced through the GOT */
1298 +  if (got && got->refcount > 0)
1299 +    {
1300 +      insert_got_entry(htab, got);
1301 +
1302 +      /* Shared libraries need relocs for all GOT entries unless the
1303 +        symbol is forced local or -Bsymbolic is used.  Others need
1304 +        relocs for everything that is not guaranteed to be defined in
1305 +        a regular object.  */
1306 +      if ((info->shared
1307 +          && !info->symbolic
1308 +          && h->dynindx != -1)
1309 +         || (htab->root.dynamic_sections_created
1310 +             && h->def_dynamic
1311 +             && !h->def_regular))
1312 +       htab->srelgot->size += sizeof(Elf32_External_Rela);
1313 +    }
1314 +
1315 +  if (havr->possibly_dynamic_relocs
1316 +      && (info->shared
1317 +         || (elf_hash_table(info)->dynamic_sections_created
1318 +             && h->def_dynamic
1319 +             && !h->def_regular)))
1320 +    {
1321 +      pr_debug("Allocating %d dynamic reloc against symbol %s...\n",
1322 +              havr->possibly_dynamic_relocs, h->root.root.string);
1323 +      htab->srelgot->size += (havr->possibly_dynamic_relocs
1324 +                             * sizeof(Elf32_External_Rela));
1325 +    }
1326 +
1327 +  return TRUE;
1328 +}
1329 +
1330 +/* (4) Calculate the sizes of the linker-generated sections and
1331 +   allocate memory for them.  */
1332 +
1333 +static bfd_boolean
1334 +avr32_elf_size_dynamic_sections (bfd *output_bfd,
1335 +                                struct bfd_link_info *info)
1336 +{
1337 +  struct elf_avr32_link_hash_table *htab;
1338 +  bfd *dynobj;
1339 +  asection *s;
1340 +  bfd *ibfd;
1341 +  bfd_boolean relocs;
1342 +
1343 +  pr_debug("(4) size dynamic sections\n");
1344 +
1345 +  htab = avr32_elf_hash_table(info);
1346 +  dynobj = htab->root.dynobj;
1347 +  BFD_ASSERT(dynobj != NULL);
1348 +
1349 +  if (htab->root.dynamic_sections_created)
1350 +    {
1351 +      /* Initialize the contents of the .interp section to the name of
1352 +        the dynamic loader */
1353 +      if (info->executable)
1354 +       {
1355 +         s = bfd_get_section_by_name(dynobj, ".interp");
1356 +         BFD_ASSERT(s != NULL);
1357 +         s->size = sizeof(ELF_DYNAMIC_INTERPRETER);
1358 +         s->contents = (unsigned char *)ELF_DYNAMIC_INTERPRETER;
1359 +       }
1360 +    }
1361 +
1362 +  if (htab->nr_got_holes > 0)
1363 +    {
1364 +      /* Allocate holes for the pigeonhole sort algorithm */
1365 +      pr_debug("Highest GOT refcount: %d\n", htab->nr_got_holes);
1366 +
1367 +      /* Limit the memory usage by clipping the number of pigeonholes
1368 +       * at a predefined maximum. All entries with a higher refcount
1369 +       * will end up in the last pigeonhole.  */
1370 +    if (htab->nr_got_holes >= MAX_NR_GOT_HOLES)
1371 +    {
1372 +        htab->nr_got_holes = MAX_NR_GOT_HOLES - 1;
1373 +
1374 +        pr_debug("Limiting maximum number of GOT pigeonholes to %u\n",
1375 +                    htab->nr_got_holes);
1376 +    }
1377 +      htab->got_hole = bfd_zalloc(output_bfd,
1378 +                                 sizeof(struct got_entry *)
1379 +                                 * (htab->nr_got_holes + 1));
1380 +      if (!htab->got_hole)
1381 +       return FALSE;
1382 +
1383 +      /* Set up .got offsets for local syms.  */
1384 +      for (ibfd = info->input_bfds; ibfd != NULL; ibfd = ibfd->link_next)
1385 +       {
1386 +         struct got_entry **local_got;
1387 +         struct got_entry **end_local_got;
1388 +         Elf_Internal_Shdr *symtab_hdr;
1389 +         bfd_size_type locsymcount;
1390 +
1391 +         pr_debug("  (4a) processing file %s...\n", ibfd->filename);
1392 +
1393 +         BFD_ASSERT(bfd_get_flavour(ibfd) == bfd_target_elf_flavour);
1394 +
1395 +         local_got = elf_local_got_ents(ibfd);
1396 +         if (!local_got)
1397 +           continue;
1398 +
1399 +         symtab_hdr = &elf_tdata(ibfd)->symtab_hdr;
1400 +         locsymcount = symtab_hdr->sh_info;
1401 +         end_local_got = local_got + locsymcount;
1402 +
1403 +         for (; local_got < end_local_got; ++local_got)
1404 +           insert_got_entry(htab, *local_got);
1405 +       }
1406 +    }
1407 +
1408 +  /* Allocate global sym .got entries and space for global sym
1409 +     dynamic relocs */
1410 +  elf_link_hash_traverse(&htab->root, allocate_dynrelocs, info);
1411 +
1412 +  /* Now that we have sorted the GOT entries, we are ready to
1413 +     assign offsets and determine the initial size of the GOT. */
1414 +  if (htab->sgot)
1415 +    assign_got_offsets(htab);
1416 +
1417 +  /* Allocate space for local sym dynamic relocs */
1418 +  BFD_ASSERT(htab->local_dynamic_relocs == 0 || info->shared);
1419 +  if (htab->local_dynamic_relocs)
1420 +    htab->srelgot->size += (htab->local_dynamic_relocs
1421 +                           * sizeof(Elf32_External_Rela));
1422 +
1423 +  /* We now have determined the sizes of the various dynamic
1424 +     sections. Allocate memory for them. */
1425 +  relocs = FALSE;
1426 +  for (s = dynobj->sections; s; s = s->next)
1427 +    {
1428 +      if ((s->flags & SEC_LINKER_CREATED) == 0)
1429 +       continue;
1430 +
1431 +      if (s == htab->sgot
1432 +         || s == htab->sstub)
1433 +       {
1434 +         /* Strip this section if we don't need it */
1435 +       }
1436 +      else if (strncmp (bfd_get_section_name(dynobj, s), ".rela", 5) == 0)
1437 +       {
1438 +         if (s->size != 0)
1439 +           relocs = TRUE;
1440 +
1441 +         s->reloc_count = 0;
1442 +       }
1443 +      else
1444 +       {
1445 +         /* It's not one of our sections */
1446 +         continue;
1447 +       }
1448 +
1449 +      if (s->size == 0)
1450 +       {
1451 +         /* Strip unneeded sections */
1452 +         pr_debug("Stripping section %s from output...\n", s->name);
1453 +         /* deleted function in 2.17
1454 +      _bfd_strip_section_from_output(info, s);
1455 +      */
1456 +         continue;
1457 +       }
1458 +
1459 +      s->contents = bfd_zalloc(dynobj, s->size);
1460 +      if (s->contents == NULL)
1461 +       return FALSE;
1462 +    }
1463 +
1464 +  if (htab->root.dynamic_sections_created)
1465 +    {
1466 +      /* Add some entries to the .dynamic section.  We fill in the
1467 +        values later, in sh_elf_finish_dynamic_sections, but we
1468 +        must add the entries now so that we get the correct size for
1469 +        the .dynamic section.  The DT_DEBUG entry is filled in by the
1470 +        dynamic linker and used by the debugger.  */
1471 +#define add_dynamic_entry(TAG, VAL) _bfd_elf_add_dynamic_entry(info, TAG, VAL)
1472 +
1473 +      if (!add_dynamic_entry(DT_PLTGOT, 0))
1474 +       return FALSE;
1475 +      if (!add_dynamic_entry(DT_AVR32_GOTSZ, 0))
1476 +       return FALSE;
1477 +
1478 +      if (info->executable)
1479 +       {
1480 +         if (!add_dynamic_entry(DT_DEBUG, 0))
1481 +           return FALSE;
1482 +       }
1483 +      if (relocs)
1484 +       {
1485 +         if (!add_dynamic_entry(DT_RELA, 0)
1486 +             || !add_dynamic_entry(DT_RELASZ, 0)
1487 +             || !add_dynamic_entry(DT_RELAENT,
1488 +                                   sizeof(Elf32_External_Rela)))
1489 +           return FALSE;
1490 +       }
1491 +    }
1492 +#undef add_dynamic_entry
1493 +
1494 +  return TRUE;
1495 +}
1496 +
1497 +
1498 +/* Access to internal relocations, section contents and symbols.
1499 +   (stolen from the xtensa port)  */
1500 +
1501 +static Elf_Internal_Rela *
1502 +retrieve_internal_relocs (bfd *abfd, asection *sec, bfd_boolean keep_memory);
1503 +static void
1504 +pin_internal_relocs (asection *sec, Elf_Internal_Rela *internal_relocs);
1505 +static void
1506 +release_internal_relocs (asection *sec, Elf_Internal_Rela *internal_relocs);
1507 +static bfd_byte *
1508 +retrieve_contents (bfd *abfd, asection *sec, bfd_boolean keep_memory);
1509 +/*
1510 +static void
1511 +pin_contents (asection *sec, bfd_byte *contents);
1512 +*/
1513 +static void
1514 +release_contents (asection *sec, bfd_byte *contents);
1515 +static Elf_Internal_Sym *
1516 +retrieve_local_syms (bfd *input_bfd, bfd_boolean keep_memory);
1517 +/*
1518 +static void
1519 +pin_local_syms (bfd *input_bfd, Elf_Internal_Sym *isymbuf);
1520 +*/
1521 +static void
1522 +release_local_syms (bfd *input_bfd, Elf_Internal_Sym *isymbuf);
1523 +
1524 +/* During relaxation, we need to modify relocations, section contents,
1525 +   and symbol definitions, and we need to keep the original values from
1526 +   being reloaded from the input files, i.e., we need to "pin" the
1527 +   modified values in memory.  We also want to continue to observe the
1528 +   setting of the "keep-memory" flag.  The following functions wrap the
1529 +   standard BFD functions to take care of this for us.  */
1530 +
1531 +static Elf_Internal_Rela *
1532 +retrieve_internal_relocs (bfd *abfd, asection *sec, bfd_boolean keep_memory)
1533 +{
1534 +  /* _bfd_elf_link_read_relocs knows about caching, so no need for us
1535 +     to be clever here.  */
1536 +  return _bfd_elf_link_read_relocs(abfd, sec, NULL, NULL, keep_memory);
1537 +}
1538 +
1539 +static void
1540 +pin_internal_relocs (asection *sec, Elf_Internal_Rela *internal_relocs)
1541 +{
1542 +  elf_section_data (sec)->relocs = internal_relocs;
1543 +}
1544 +
1545 +static void
1546 +release_internal_relocs (asection *sec, Elf_Internal_Rela *internal_relocs)
1547 +{
1548 +  if (internal_relocs
1549 +      && elf_section_data (sec)->relocs != internal_relocs)
1550 +    free (internal_relocs);
1551 +}
1552 +
1553 +static bfd_byte *
1554 +retrieve_contents (bfd *abfd, asection *sec, bfd_boolean keep_memory)
1555 +{
1556 +  bfd_byte *contents;
1557 +  bfd_size_type sec_size;
1558 +
1559 +  sec_size = bfd_get_section_limit (abfd, sec);
1560 +  contents = elf_section_data (sec)->this_hdr.contents;
1561 +
1562 +  if (contents == NULL && sec_size != 0)
1563 +    {
1564 +      if (!bfd_malloc_and_get_section (abfd, sec, &contents))
1565 +       {
1566 +         if (contents)
1567 +           free (contents);
1568 +         return NULL;
1569 +       }
1570 +      if (keep_memory)
1571 +       elf_section_data (sec)->this_hdr.contents = contents;
1572 +    }
1573 +  return contents;
1574 +}
1575 +
1576 +/*
1577 +static void
1578 +pin_contents (asection *sec, bfd_byte *contents)
1579 +{
1580 +  elf_section_data (sec)->this_hdr.contents = contents;
1581 +}
1582 +*/
1583 +static void
1584 +release_contents (asection *sec, bfd_byte *contents)
1585 +{
1586 +  if (contents && elf_section_data (sec)->this_hdr.contents != contents)
1587 +    free (contents);
1588 +}
1589 +
1590 +static Elf_Internal_Sym *
1591 +retrieve_local_syms (bfd *input_bfd, bfd_boolean keep_memory)
1592 +{
1593 +  Elf_Internal_Shdr *symtab_hdr;
1594 +  Elf_Internal_Sym *isymbuf;
1595 +  size_t locsymcount;
1596 +
1597 +  symtab_hdr = &elf_tdata (input_bfd)->symtab_hdr;
1598 +  locsymcount = symtab_hdr->sh_info;
1599 +
1600 +  isymbuf = (Elf_Internal_Sym *) symtab_hdr->contents;
1601 +  if (isymbuf == NULL && locsymcount != 0)
1602 +    {
1603 +      isymbuf = bfd_elf_get_elf_syms (input_bfd, symtab_hdr, locsymcount, 0,
1604 +                                     NULL, NULL, NULL);
1605 +      if (isymbuf && keep_memory)
1606 +       symtab_hdr->contents = (unsigned char *) isymbuf;
1607 +    }
1608 +
1609 +  return isymbuf;
1610 +}
1611 +
1612 +/*
1613 +static void
1614 +pin_local_syms (bfd *input_bfd, Elf_Internal_Sym *isymbuf)
1615 +{
1616 +  elf_tdata (input_bfd)->symtab_hdr.contents = (unsigned char *)isymbuf;
1617 +}
1618 +
1619 +*/
1620 +static void
1621 +release_local_syms (bfd *input_bfd, Elf_Internal_Sym *isymbuf)
1622 +{
1623 +  if (isymbuf && (elf_tdata (input_bfd)->symtab_hdr.contents
1624 +                 != (unsigned char *)isymbuf))
1625 +    free (isymbuf);
1626 +}
1627 +
1628 +\f/* Data structures used during relaxation. */
1629 +
1630 +enum relax_state_id {
1631 +  RS_ERROR = -1,
1632 +  RS_NONE = 0,
1633 +  RS_ALIGN,
1634 +  RS_CPENT,
1635 +  RS_PIC_CALL,
1636 +  RS_PIC_MCALL,
1637 +  RS_PIC_RCALL2,
1638 +  RS_PIC_RCALL1,
1639 +  RS_PIC_LDA,
1640 +  RS_PIC_LDW4,
1641 +  RS_PIC_LDW3,
1642 +  RS_PIC_SUB5,
1643 +  RS_NOPIC_MCALL,
1644 +  RS_NOPIC_RCALL2,
1645 +  RS_NOPIC_RCALL1,
1646 +  RS_NOPIC_LDW4,
1647 +  RS_NOPIC_LDDPC,
1648 +  RS_NOPIC_SUB5,
1649 +  RS_NOPIC_MOV2,
1650 +  RS_NOPIC_MOV1,
1651 +  RS_RCALL2,
1652 +  RS_RCALL1,
1653 +  RS_BRC2,
1654 +  RS_BRC1,
1655 +  RS_BRAL,
1656 +  RS_RJMP,
1657 +  RS_MAX,
1658 +};
1659 +
1660 +enum reference_type {
1661 +  REF_ABSOLUTE,
1662 +  REF_PCREL,
1663 +  REF_CPOOL,
1664 +  REF_GOT,
1665 +};
1666 +
1667 +struct relax_state
1668 +{
1669 +  const char *name;
1670 +  enum relax_state_id id;
1671 +  enum relax_state_id direct;
1672 +  enum relax_state_id next;
1673 +  enum relax_state_id prev;
1674 +
1675 +  enum reference_type reftype;
1676 +
1677 +  unsigned int r_type;
1678 +
1679 +  bfd_vma opcode;
1680 +  bfd_vma opcode_mask;
1681 +
1682 +  bfd_signed_vma range_min;
1683 +  bfd_signed_vma range_max;
1684 +
1685 +  bfd_size_type size;
1686 +};
1687 +
1688 +/*
1689 + * This is for relocs that
1690 + *   a) has an addend or is of type R_AVR32_DIFF32, and
1691 + *   b) references a different section than it's in, and
1692 + *   c) references a section that is relaxable
1693 + *
1694 + * as well as relocs that references the constant pool, in which case
1695 + * the add_frag member points to the frag containing the constant pool
1696 + * entry.
1697 + *
1698 + * Such relocs must be fixed up whenever we delete any code. Sections
1699 + * that don't have any relocs with all of the above properties don't
1700 + * have any additional reloc data, but sections that do will have
1701 + * additional data for all its relocs.
1702 + */
1703 +struct avr32_reloc_data
1704 +{
1705 +  struct fragment *add_frag;
1706 +  struct fragment *sub_frag;
1707 +};
1708 +
1709 +/*
1710 + * A 'fragment' is a relaxable entity, that is, code may be added or
1711 + * deleted at the end of a fragment. When this happens, all subsequent
1712 + * fragments in the list will have their offsets updated.
1713 + */
1714 +struct fragment
1715 +{
1716 +  enum relax_state_id state;
1717 +  enum relax_state_id initial_state;
1718 +
1719 +  Elf_Internal_Rela *rela;
1720 +  bfd_size_type size;
1721 +  bfd_vma offset;
1722 +  int size_adjust;
1723 +  int offset_adjust;
1724 +  bfd_boolean has_grown;
1725 +
1726 +  /* Only used by constant pool entries.  When this drops to zero, the
1727 +     frag is discarded (i.e. size_adjust is set to -4.)  */
1728 +  int refcount;
1729 +};
1730 +
1731 +struct avr32_relax_data
1732 +{
1733 +  unsigned int frag_count;
1734 +  struct fragment *frag;
1735 +  struct avr32_reloc_data *reloc_data;
1736 +
1737 +  /* TRUE if this section has one or more relaxable relocations */
1738 +  bfd_boolean is_relaxable;
1739 +  unsigned int iteration;
1740 +};
1741 +
1742 +struct avr32_section_data
1743 +{
1744 +  struct bfd_elf_section_data elf;
1745 +  struct avr32_relax_data relax_data;
1746 +};
1747 +
1748 +\f/* Relax state definitions */
1749 +
1750 +#define PIC_MOV2_OPCODE                0xe0600000
1751 +#define PIC_MOV2_MASK          0xe1e00000
1752 +#define PIC_MOV2_RANGE_MIN     (-1048576 * 4)
1753 +#define PIC_MOV2_RANGE_MAX     (1048575 * 4)
1754 +#define PIC_MCALL_OPCODE       0xf0160000
1755 +#define PIC_MCALL_MASK         0xffff0000
1756 +#define PIC_MCALL_RANGE_MIN    (-131072)
1757 +#define PIC_MCALL_RANGE_MAX    (131068)
1758 +#define RCALL2_OPCODE          0xe0a00000
1759 +#define RCALL2_MASK            0xe1ef0000
1760 +#define RCALL2_RANGE_MIN       (-2097152)
1761 +#define RCALL2_RANGE_MAX       (2097150)
1762 +#define RCALL1_OPCODE          0xc00c0000
1763 +#define RCALL1_MASK            0xf00c0000
1764 +#define RCALL1_RANGE_MIN       (-1024)
1765 +#define RCALL1_RANGE_MAX       (1022)
1766 +#define PIC_LDW4_OPCODE                0xecf00000
1767 +#define PIC_LDW4_MASK          0xfff00000
1768 +#define PIC_LDW4_RANGE_MIN     (-32768)
1769 +#define PIC_LDW4_RANGE_MAX     (32767)
1770 +#define PIC_LDW3_OPCODE                0x6c000000
1771 +#define PIC_LDW3_MASK          0xfe000000
1772 +#define PIC_LDW3_RANGE_MIN     (0)
1773 +#define PIC_LDW3_RANGE_MAX     (124)
1774 +#define SUB5_PC_OPCODE         0xfec00000
1775 +#define SUB5_PC_MASK           0xfff00000
1776 +#define SUB5_PC_RANGE_MIN      (-32768)
1777 +#define SUB5_PC_RANGE_MAX      (32767)
1778 +#define NOPIC_MCALL_OPCODE     0xf01f0000
1779 +#define NOPIC_MCALL_MASK       0xffff0000
1780 +#define NOPIC_MCALL_RANGE_MIN  PIC_MCALL_RANGE_MIN
1781 +#define NOPIC_MCALL_RANGE_MAX  PIC_MCALL_RANGE_MAX
1782 +#define NOPIC_LDW4_OPCODE      0xfef00000
1783 +#define NOPIC_LDW4_MASK                0xfff00000
1784 +#define NOPIC_LDW4_RANGE_MIN   PIC_LDW4_RANGE_MIN
1785 +#define NOPIC_LDW4_RANGE_MAX   PIC_LDW4_RANGE_MAX
1786 +#define LDDPC_OPCODE           0x48000000
1787 +#define LDDPC_MASK             0xf8000000
1788 +#define LDDPC_RANGE_MIN                0
1789 +#define LDDPC_RANGE_MAX                508
1790 +
1791 +#define NOPIC_MOV2_OPCODE  0xe0600000
1792 +#define NOPIC_MOV2_MASK        0xe1e00000
1793 +#define NOPIC_MOV2_RANGE_MIN   (-1048576)
1794 +#define NOPIC_MOV2_RANGE_MAX   (1048575)
1795 +#define NOPIC_MOV1_OPCODE  0x30000000
1796 +#define NOPIC_MOV1_MASK        0xf0000000
1797 +#define NOPIC_MOV1_RANGE_MIN   (-128)
1798 +#define NOPIC_MOV1_RANGE_MAX   (127)
1799 +
1800 +/* Only brc2 variants with cond[3] == 0 is considered, since the
1801 +   others are not relaxable.  bral is a special case and is handled
1802 +   separately.  */
1803 +#define BRC2_OPCODE            0xe0800000
1804 +#define BRC2_MASK              0xe1e80000
1805 +#define BRC2_RANGE_MIN         (-2097152)
1806 +#define BRC2_RANGE_MAX         (2097150)
1807 +#define BRC1_OPCODE            0xc0000000
1808 +#define BRC1_MASK              0xf0080000
1809 +#define BRC1_RANGE_MIN         (-256)
1810 +#define BRC1_RANGE_MAX         (254)
1811 +#define BRAL_OPCODE            0xe08f0000
1812 +#define BRAL_MASK              0xe1ef0000
1813 +#define BRAL_RANGE_MIN         BRC2_RANGE_MIN
1814 +#define BRAL_RANGE_MAX         BRC2_RANGE_MAX
1815 +#define RJMP_OPCODE            0xc0080000
1816 +#define RJMP_MASK              0xf00c0000
1817 +#define RJMP_RANGE_MIN         (-1024)
1818 +#define RJMP_RANGE_MAX         (1022)
1819 +
1820 +/* Define a relax state using the GOT  */
1821 +#define RG(id, dir, next, prev, r_type, opc, size)                     \
1822 +  { "RS_"#id, RS_##id, RS_##dir, RS_##next, RS_##prev, REF_GOT,                \
1823 +      R_AVR32_##r_type,        opc##_OPCODE, opc##_MASK,                       \
1824 +      opc##_RANGE_MIN, opc##_RANGE_MAX, size }
1825 +/* Define a relax state using the Constant Pool  */
1826 +#define RC(id, dir, next, prev, r_type, opc, size)                     \
1827 +  { "RS_"#id, RS_##id, RS_##dir, RS_##next, RS_##prev, REF_CPOOL,      \
1828 +      R_AVR32_##r_type,        opc##_OPCODE, opc##_MASK,                       \
1829 +      opc##_RANGE_MIN, opc##_RANGE_MAX, size }
1830 +
1831 +/* Define a relax state using pc-relative direct reference  */
1832 +#define RP(id, dir, next, prev, r_type, opc, size)                     \
1833 +  { "RS_"#id, RS_##id, RS_##dir, RS_##next, RS_##prev, REF_PCREL,      \
1834 +      R_AVR32_##r_type,        opc##_OPCODE, opc##_MASK,                       \
1835 +      opc##_RANGE_MIN, opc##_RANGE_MAX, size }
1836 +
1837 +/* Define a relax state using non-pc-relative direct reference */
1838 +#define RD(id, dir, next, prev, r_type, opc, size)         \
1839 +  { "RS_"#id, RS_##id, RS_##dir, RS_##next, RS_##prev, REF_ABSOLUTE,   \
1840 +      R_AVR32_##r_type,    opc##_OPCODE, opc##_MASK,           \
1841 +      opc##_RANGE_MIN, opc##_RANGE_MAX, size }
1842 +
1843 +/* Define a relax state that will be handled specially  */
1844 +#define RS(id, r_type, size)                                           \
1845 +  { "RS_"#id, RS_##id, RS_NONE, RS_NONE, RS_NONE, REF_ABSOLUTE,                \
1846 +      R_AVR32_##r_type, 0, 0, 0, 0, size }
1847 +
1848 +const struct relax_state relax_state[RS_MAX] = {
1849 +  RS(NONE, NONE, 0),
1850 +  RS(ALIGN, ALIGN, 0),
1851 +  RS(CPENT, 32_CPENT, 4),
1852 +
1853 +  RG(PIC_CALL, PIC_RCALL1, PIC_MCALL, NONE, GOTCALL, PIC_MOV2, 10),
1854 +  RG(PIC_MCALL, PIC_RCALL1, NONE, PIC_CALL, GOT18SW, PIC_MCALL, 4),
1855 +  RP(PIC_RCALL2, NONE, PIC_RCALL1, PIC_MCALL, 22H_PCREL, RCALL2, 4),
1856 +  RP(PIC_RCALL1, NONE, NONE, PIC_RCALL2, 11H_PCREL, RCALL1, 2),
1857 +
1858 +  RG(PIC_LDA, PIC_SUB5, PIC_LDW4, NONE, LDA_GOT, PIC_MOV2, 8),
1859 +  RG(PIC_LDW4, PIC_SUB5, PIC_LDW3, PIC_LDA, GOT16S, PIC_LDW4, 4),
1860 +  RG(PIC_LDW3, PIC_SUB5, NONE, PIC_LDW4, GOT7UW, PIC_LDW3, 2),
1861 +  RP(PIC_SUB5, NONE, NONE, PIC_LDW3, 16N_PCREL, SUB5_PC, 4),
1862 +
1863 +  RC(NOPIC_MCALL, NOPIC_RCALL1, NONE, NONE, CPCALL, NOPIC_MCALL, 4),
1864 +  RP(NOPIC_RCALL2, NONE, NOPIC_RCALL1, NOPIC_MCALL, 22H_PCREL, RCALL2, 4),
1865 +  RP(NOPIC_RCALL1, NONE, NONE, NOPIC_RCALL2, 11H_PCREL, RCALL1, 2),
1866 +
1867 +  RC(NOPIC_LDW4, NOPIC_MOV1, NOPIC_LDDPC, NONE, 16_CP, NOPIC_LDW4, 4),
1868 +  RC(NOPIC_LDDPC, NOPIC_MOV1, NONE, NOPIC_LDW4, 9W_CP, LDDPC, 2),
1869 +  RP(NOPIC_SUB5, NOPIC_MOV1, NONE, NOPIC_LDDPC, 16N_PCREL, SUB5_PC, 4),
1870 +  RD(NOPIC_MOV2, NONE, NOPIC_MOV1, NOPIC_SUB5, 21S, NOPIC_MOV2, 4),
1871 +  RD(NOPIC_MOV1, NONE, NONE, NOPIC_MOV2, 8S, NOPIC_MOV1, 2),
1872 +
1873 +  RP(RCALL2, NONE, RCALL1, NONE, 22H_PCREL, RCALL2, 4),
1874 +  RP(RCALL1, NONE, NONE, RCALL2, 11H_PCREL, RCALL1, 2),
1875 +  RP(BRC2, NONE, BRC1, NONE, 22H_PCREL, BRC2, 4),
1876 +  RP(BRC1, NONE, NONE, BRC2, 9H_PCREL, BRC1, 2),
1877 +  RP(BRAL, NONE, RJMP, NONE, 22H_PCREL, BRAL, 4),
1878 +  RP(RJMP, NONE, NONE, BRAL, 11H_PCREL, RJMP, 2),
1879 +};
1880 +
1881 +static bfd_boolean
1882 +avr32_elf_new_section_hook(bfd *abfd, asection *sec)
1883 +{
1884 +  struct avr32_section_data *sdata;
1885 +
1886 +  sdata = bfd_zalloc(abfd, sizeof(struct avr32_section_data));
1887 +  if (!sdata)
1888 +    return FALSE;
1889 +
1890 +  sec->used_by_bfd = sdata;
1891 +  return _bfd_elf_new_section_hook(abfd, sec);
1892 +}
1893 +
1894 +static struct avr32_relax_data *
1895 +avr32_relax_data(asection *sec)
1896 +{
1897 +  struct avr32_section_data *sdata;
1898 +
1899 +  BFD_ASSERT(sec->used_by_bfd);
1900 +
1901 +  sdata = (struct avr32_section_data *)elf_section_data(sec);
1902 +  return &sdata->relax_data;
1903 +}
1904 +
1905 +\f/* Link-time relaxation */
1906 +
1907 +static bfd_boolean
1908 +avr32_elf_relax_section(bfd *abfd, asection *sec,
1909 +                       struct bfd_link_info *info, bfd_boolean *again);
1910 +
1911 +enum relax_pass_id {
1912 +  RELAX_PASS_SIZE_FRAGS,
1913 +  RELAX_PASS_MOVE_DATA,
1914 +};
1915 +
1916 +/* Stolen from the xtensa port */
1917 +static int
1918 +internal_reloc_compare (const void *ap, const void *bp)
1919 +{
1920 +  const Elf_Internal_Rela *a = (const Elf_Internal_Rela *) ap;
1921 +  const Elf_Internal_Rela *b = (const Elf_Internal_Rela *) bp;
1922 +
1923 +  if (a->r_offset != b->r_offset)
1924 +    return (a->r_offset - b->r_offset);
1925 +
1926 +  /* We don't need to sort on these criteria for correctness,
1927 +     but enforcing a more strict ordering prevents unstable qsort
1928 +     from behaving differently with different implementations.
1929 +     Without the code below we get correct but different results
1930 +     on Solaris 2.7 and 2.8.  We would like to always produce the
1931 +     same results no matter the host.  */
1932 +
1933 +  if (a->r_info != b->r_info)
1934 +    return (a->r_info - b->r_info);
1935 +
1936 +  return (a->r_addend - b->r_addend);
1937 +}
1938 +
1939 +static enum relax_state_id
1940 +get_pcrel22_relax_state(bfd *abfd, asection *sec, struct bfd_link_info *info,
1941 +                       const Elf_Internal_Rela *rela)
1942 +{
1943 +  bfd_byte *contents;
1944 +  bfd_vma insn;
1945 +  enum relax_state_id rs = RS_NONE;
1946 +
1947 +  contents = retrieve_contents(abfd, sec, info->keep_memory);
1948 +  if (!contents)
1949 +    return RS_ERROR;
1950 +
1951 +  insn = bfd_get_32(abfd, contents + rela->r_offset);
1952 +  if ((insn & RCALL2_MASK) == RCALL2_OPCODE)
1953 +    rs = RS_RCALL2;
1954 +  else if ((insn & BRAL_MASK) == BRAL_OPCODE)
1955 +    /* Optimizing bral -> rjmp gets us into all kinds of
1956 +       trouble with jump tables. Better not do it.  */
1957 +    rs = RS_NONE;
1958 +  else if ((insn & BRC2_MASK) == BRC2_OPCODE)
1959 +    rs = RS_BRC2;
1960 +
1961 +  release_contents(sec, contents);
1962 +
1963 +  return rs;
1964 +}
1965 +
1966 +static enum relax_state_id
1967 +get_initial_relax_state(bfd *abfd, asection *sec, struct bfd_link_info *info,
1968 +                       const Elf_Internal_Rela *rela)
1969 +{
1970 +  switch (ELF_R_TYPE(rela->r_info))
1971 +    {
1972 +    case R_AVR32_GOTCALL:
1973 +      return RS_PIC_CALL;
1974 +    case R_AVR32_GOT18SW:
1975 +      return RS_PIC_MCALL;
1976 +    case R_AVR32_LDA_GOT:
1977 +      return RS_PIC_LDA;
1978 +    case R_AVR32_GOT16S:
1979 +      return RS_PIC_LDW4;
1980 +    case R_AVR32_CPCALL:
1981 +      return RS_NOPIC_MCALL;
1982 +    case R_AVR32_16_CP:
1983 +      return RS_NOPIC_LDW4;
1984 +    case R_AVR32_9W_CP:
1985 +      return RS_NOPIC_LDDPC;
1986 +    case R_AVR32_ALIGN:
1987 +      return RS_ALIGN;
1988 +    case R_AVR32_32_CPENT:
1989 +      return RS_CPENT;
1990 +    case R_AVR32_22H_PCREL:
1991 +      return get_pcrel22_relax_state(abfd, sec, info, rela);
1992 +    case R_AVR32_9H_PCREL:
1993 +      return RS_BRC1;
1994 +    default:
1995 +      return RS_NONE;
1996 +    }
1997 +}
1998 +
1999 +static bfd_boolean
2000 +reloc_is_cpool_ref(const Elf_Internal_Rela *rela)
2001 +{
2002 +  switch (ELF_R_TYPE(rela->r_info))
2003 +    {
2004 +    case R_AVR32_CPCALL:
2005 +    case R_AVR32_16_CP:
2006 +    case R_AVR32_9W_CP:
2007 +      return TRUE;
2008 +    default:
2009 +      return FALSE;
2010 +    }
2011 +}
2012 +
2013 +static struct fragment *
2014 +new_frag(bfd *abfd ATTRIBUTE_UNUSED, asection *sec,
2015 +        struct avr32_relax_data *rd, enum relax_state_id state,
2016 +        Elf_Internal_Rela *rela)
2017 +{
2018 +  struct fragment *frag;
2019 +  bfd_size_type r_size;
2020 +  bfd_vma r_offset;
2021 +  unsigned int i = rd->frag_count;
2022 +
2023 +  BFD_ASSERT(state >= RS_NONE && state < RS_MAX);
2024 +
2025 +  rd->frag_count++;
2026 +  frag = bfd_realloc(rd->frag, sizeof(struct fragment) * rd->frag_count);
2027 +  if (!frag)
2028 +    return NULL;
2029 +  rd->frag = frag;
2030 +
2031 +  frag += i;
2032 +  memset(frag, 0, sizeof(struct fragment));
2033 +
2034 +  if (state == RS_ALIGN)
2035 +    r_size = (((rela->r_offset + (1 << rela->r_addend) - 1)
2036 +              & ~((1 << rela->r_addend) - 1)) - rela->r_offset);
2037 +  else
2038 +    r_size = relax_state[state].size;
2039 +
2040 +  if (rela)
2041 +    r_offset = rela->r_offset;
2042 +  else
2043 +    r_offset = sec->size;
2044 +
2045 +  if (i == 0)
2046 +    {
2047 +      frag->offset = 0;
2048 +      frag->size = r_offset + r_size;
2049 +    }
2050 +  else
2051 +    {
2052 +      frag->offset = rd->frag[i - 1].offset + rd->frag[i - 1].size;
2053 +      frag->size = r_offset + r_size - frag->offset;
2054 +    }
2055 +
2056 +  if (state != RS_CPENT)
2057 +    /* Make sure we don't discard this frag */
2058 +    frag->refcount = 1;
2059 +
2060 +  frag->initial_state = frag->state = state;
2061 +  frag->rela = rela;
2062 +
2063 +  return frag;
2064 +}
2065 +
2066 +static struct fragment *
2067 +find_frag(asection *sec, bfd_vma offset)
2068 +{
2069 +  struct fragment *first, *last;
2070 +  struct avr32_relax_data *rd = avr32_relax_data(sec);
2071 +
2072 +  if (rd->frag_count == 0)
2073 +    return NULL;
2074 +
2075 +  first = &rd->frag[0];
2076 +  last = &rd->frag[rd->frag_count - 1];
2077 +
2078 +  /* This may be a reloc referencing the end of a section.  The last
2079 +     frag will never have a reloc associated with it, so its size will
2080 +     never change, thus the offset adjustment of the last frag will
2081 +     always be the same as the offset adjustment of the end of the
2082 +     section.  */
2083 +  if (offset == sec->size)
2084 +    {
2085 +      BFD_ASSERT(last->offset + last->size == sec->size);
2086 +      BFD_ASSERT(!last->rela);
2087 +      return last;
2088 +    }
2089 +
2090 +  while (first <= last)
2091 +    {
2092 +      struct fragment *mid;
2093 +
2094 +      mid = (last - first) / 2 + first;
2095 +      if ((mid->offset + mid->size) <= offset)
2096 +       first = mid + 1;
2097 +      else if (mid->offset > offset)
2098 +       last = mid - 1;
2099 +      else
2100 +       return mid;
2101 +    }
2102 +
2103 +  return NULL;
2104 +}
2105 +
2106 +/* Look through all relocs in a section and determine if any relocs
2107 +   may be affected by relaxation in other sections.  If so, allocate
2108 +   an array of additional relocation data which links the affected
2109 +   relocations to the frag(s) where the relaxation may occur.
2110 +
2111 +   This function also links cpool references to cpool entries and
2112 +   increments the refcount of the latter when this happens.  */
2113 +
2114 +static bfd_boolean
2115 +allocate_reloc_data(bfd *abfd, asection *sec, Elf_Internal_Rela *relocs,
2116 +                   struct bfd_link_info *info)
2117 +{
2118 +  Elf_Internal_Shdr *symtab_hdr;
2119 +  Elf_Internal_Sym *isymbuf = NULL;
2120 +  struct avr32_relax_data *rd;
2121 +  unsigned int i;
2122 +  bfd_boolean ret = FALSE;
2123 +
2124 +  symtab_hdr = &elf_tdata(abfd)->symtab_hdr;
2125 +  rd = avr32_relax_data(sec);
2126 +
2127 +  RDBG("%s<%s>: allocate_reloc_data\n", abfd->filename, sec->name);
2128 +
2129 +  for (i = 0; i < sec->reloc_count; i++)
2130 +    {
2131 +      Elf_Internal_Rela *rel = &relocs[i];
2132 +      asection *sym_sec;
2133 +      unsigned long r_symndx;
2134 +      bfd_vma sym_value;
2135 +
2136 +      if (!rel->r_addend && ELF_R_TYPE(rel->r_info) != R_AVR32_DIFF32
2137 +         && !reloc_is_cpool_ref(rel))
2138 +       continue;
2139 +
2140 +      r_symndx = ELF_R_SYM(rel->r_info);
2141 +
2142 +      if (r_symndx < symtab_hdr->sh_info)
2143 +       {
2144 +         Elf_Internal_Sym *isym;
2145 +
2146 +         if (!isymbuf)
2147 +           isymbuf = retrieve_local_syms(abfd, info->keep_memory);
2148 +         if (!isymbuf)
2149 +           return FALSE;
2150 +
2151 +         isym = &isymbuf[r_symndx];
2152 +         sym_sec = bfd_section_from_elf_index(abfd, isym->st_shndx);
2153 +         sym_value = isym->st_value;
2154 +       }
2155 +      else
2156 +       {
2157 +         struct elf_link_hash_entry *h;
2158 +
2159 +         h = elf_sym_hashes(abfd)[r_symndx - symtab_hdr->sh_info];
2160 +
2161 +         while (h->root.type == bfd_link_hash_indirect
2162 +                || h->root.type == bfd_link_hash_warning)
2163 +           h = (struct elf_link_hash_entry *)h->root.u.i.link;
2164 +
2165 +         if (h->root.type != bfd_link_hash_defined
2166 +             && h->root.type != bfd_link_hash_defweak)
2167 +           continue;
2168 +
2169 +         sym_sec = h->root.u.def.section;
2170 +         sym_value = h->root.u.def.value;
2171 +       }
2172 +
2173 +      if (sym_sec && avr32_relax_data(sym_sec)->is_relaxable)
2174 +       {
2175 +         bfd_size_type size;
2176 +         struct fragment *frag;
2177 +
2178 +         if (!rd->reloc_data)
2179 +           {
2180 +             size = sizeof(struct avr32_reloc_data) * sec->reloc_count;
2181 +             rd->reloc_data = bfd_zalloc(abfd, size);
2182 +             if (!rd->reloc_data)
2183 +               goto out;
2184 +           }
2185 +
2186 +         RDBG("[%3d] 0x%04lx: target: 0x%lx + 0x%lx",
2187 +              i, rel->r_offset, sym_value, rel->r_addend);
2188 +
2189 +         frag = find_frag(sym_sec, sym_value + rel->r_addend);
2190 +         BFD_ASSERT(frag);
2191 +         rd->reloc_data[i].add_frag = frag;
2192 +
2193 +         RDBG(" -> %s<%s>:%04lx\n", sym_sec->owner->filename, sym_sec->name,
2194 +              frag->rela ? frag->rela->r_offset : sym_sec->size);
2195 +
2196 +         if (reloc_is_cpool_ref(rel))
2197 +           {
2198 +             BFD_ASSERT(ELF_R_TYPE(frag->rela->r_info) == R_AVR32_32_CPENT);
2199 +             frag->refcount++;
2200 +           }
2201 +
2202 +         if (ELF_R_TYPE(rel->r_info) == R_AVR32_DIFF32)
2203 +           {
2204 +             bfd_byte *contents;
2205 +             bfd_signed_vma diff;
2206 +
2207 +             contents = retrieve_contents(abfd, sec, info->keep_memory);
2208 +             if (!contents)
2209 +               goto out;
2210 +
2211 +             diff = bfd_get_signed_32(abfd, contents + rel->r_offset);
2212 +             frag = find_frag(sym_sec, sym_value + rel->r_addend + diff);
2213 +             BFD_ASSERT(frag);
2214 +             rd->reloc_data[i].sub_frag = frag;
2215 +
2216 +             release_contents(sec, contents);
2217 +           }
2218 +       }
2219 +    }
2220 +
2221 +  ret = TRUE;
2222 +
2223 + out:
2224 +  release_local_syms(abfd, isymbuf);
2225 +  return ret;
2226 +}
2227 +
2228 +static bfd_boolean
2229 +global_sym_set_frag(struct elf_avr32_link_hash_entry *havr,
2230 +                   struct bfd_link_info *info ATTRIBUTE_UNUSED)
2231 +{
2232 +  struct fragment *frag;
2233 +  asection *sec;
2234 +
2235 +  if (havr->root.root.type != bfd_link_hash_defined
2236 +      && havr->root.root.type != bfd_link_hash_defweak)
2237 +    return TRUE;
2238 +
2239 +  sec = havr->root.root.u.def.section;
2240 +  if (bfd_is_const_section(sec)
2241 +      || !avr32_relax_data(sec)->is_relaxable)
2242 +    return TRUE;
2243 +
2244 +  frag = find_frag(sec, havr->root.root.u.def.value);
2245 +  if (!frag)
2246 +    {
2247 +      unsigned int i;
2248 +      struct avr32_relax_data *rd = avr32_relax_data(sec);
2249 +
2250 +      RDBG("In %s: No frag for %s <%s+%lu> (limit %lu)\n",
2251 +          sec->owner->filename, havr->root.root.root.string,
2252 +          sec->name, havr->root.root.u.def.value, sec->size);
2253 +      for (i = 0; i < rd->frag_count; i++)
2254 +       RDBG("    %8lu - %8lu\n", rd->frag[i].offset,
2255 +            rd->frag[i].offset + rd->frag[i].size);
2256 +    }
2257 +  BFD_ASSERT(frag);
2258 +
2259 +  havr->sym_frag = frag;
2260 +  return TRUE;
2261 +}
2262 +
2263 +static bfd_boolean
2264 +analyze_relocations(struct bfd_link_info *info)
2265 +{
2266 +  bfd *abfd;
2267 +  asection *sec;
2268 +
2269 +  /* Divide all relaxable sections into fragments */
2270 +  for (abfd = info->input_bfds; abfd; abfd = abfd->link_next)
2271 +    {
2272 +      if (!(elf_elfheader(abfd)->e_flags & EF_AVR32_LINKRELAX))
2273 +       {
2274 +         if (!(*info->callbacks->warning)
2275 +             (info, _("input is not relaxable"), NULL, abfd, NULL, 0))
2276 +           return FALSE;
2277 +         continue;
2278 +       }
2279 +
2280 +      for (sec = abfd->sections; sec; sec = sec->next)
2281 +       {
2282 +         struct avr32_relax_data *rd;
2283 +         struct fragment *frag;
2284 +         Elf_Internal_Rela *relocs;
2285 +         unsigned int i;
2286 +         bfd_boolean ret = TRUE;
2287 +
2288 +         if (!(sec->flags & SEC_RELOC) || sec->reloc_count == 0)
2289 +           continue;
2290 +
2291 +         rd = avr32_relax_data(sec);
2292 +
2293 +         relocs = retrieve_internal_relocs(abfd, sec, info->keep_memory);
2294 +         if (!relocs)
2295 +           return FALSE;
2296 +
2297 +         qsort(relocs, sec->reloc_count, sizeof(Elf_Internal_Rela),
2298 +               internal_reloc_compare);
2299 +
2300 +         for (i = 0; i < sec->reloc_count; i++)
2301 +           {
2302 +             enum relax_state_id state;
2303 +
2304 +             ret = FALSE;
2305 +             state = get_initial_relax_state(abfd, sec, info, &relocs[i]);
2306 +             if (state == RS_ERROR)
2307 +               break;
2308 +
2309 +             if (state)
2310 +               {
2311 +                 frag = new_frag(abfd, sec, rd, state, &relocs[i]);
2312 +                 if (!frag)
2313 +                   break;
2314 +
2315 +                 pin_internal_relocs(sec, relocs);
2316 +                 rd->is_relaxable = TRUE;
2317 +               }
2318 +
2319 +             ret = TRUE;
2320 +           }
2321 +
2322 +         release_internal_relocs(sec, relocs);
2323 +         if (!ret)
2324 +           return ret;
2325 +
2326 +         if (rd->is_relaxable)
2327 +           {
2328 +             frag = new_frag(abfd, sec, rd, RS_NONE, NULL);
2329 +             if (!frag)
2330 +               return FALSE;
2331 +           }
2332 +       }
2333 +    }
2334 +
2335 +  /* Link each global symbol to the fragment where it's defined.  */
2336 +  elf_link_hash_traverse(elf_hash_table(info), global_sym_set_frag, info);
2337 +
2338 +  /* Do the same for local symbols. */
2339 +  for (abfd = info->input_bfds; abfd; abfd = abfd->link_next)
2340 +    {
2341 +      Elf_Internal_Sym *isymbuf, *isym;
2342 +      struct fragment **local_sym_frag;
2343 +      unsigned int i, sym_count;
2344 +
2345 +      sym_count = elf_tdata(abfd)->symtab_hdr.sh_info;
2346 +      if (sym_count == 0)
2347 +       continue;
2348 +
2349 +      local_sym_frag = bfd_zalloc(abfd, sym_count * sizeof(struct fragment *));
2350 +      if (!local_sym_frag)
2351 +       return FALSE;
2352 +      elf_tdata(abfd)->local_sym_frag = local_sym_frag;
2353 +
2354 +      isymbuf = retrieve_local_syms(abfd, info->keep_memory);
2355 +      if (!isymbuf)
2356 +       return FALSE;
2357 +
2358 +      for (i = 0; i < sym_count; i++)
2359 +       {
2360 +         struct avr32_relax_data *rd;
2361 +         struct fragment *frag;
2362 +         asection *sec;
2363 +
2364 +         isym = &isymbuf[i];
2365 +
2366 +         sec = bfd_section_from_elf_index(abfd, isym->st_shndx);
2367 +         if (!sec)
2368 +           continue;
2369 +
2370 +         rd = avr32_relax_data(sec);
2371 +         if (!rd->is_relaxable)
2372 +           continue;
2373 +
2374 +         frag = find_frag(sec, isym->st_value);
2375 +         BFD_ASSERT(frag);
2376 +
2377 +         local_sym_frag[i] = frag;
2378 +       }
2379 +
2380 +      release_local_syms(abfd, isymbuf);
2381 +    }
2382 +
2383 +  /* And again for relocs with addends and constant pool references */
2384 +  for (abfd = info->input_bfds; abfd; abfd = abfd->link_next)
2385 +    for (sec = abfd->sections; sec; sec = sec->next)
2386 +      {
2387 +       Elf_Internal_Rela *relocs;
2388 +       bfd_boolean ret;
2389 +
2390 +       if (!(sec->flags & SEC_RELOC) || sec->reloc_count == 0)
2391 +         continue;
2392 +
2393 +       relocs = retrieve_internal_relocs(abfd, sec, info->keep_memory);
2394 +       if (!relocs)
2395 +         return FALSE;
2396 +
2397 +       ret = allocate_reloc_data(abfd, sec, relocs, info);
2398 +
2399 +       release_internal_relocs(sec, relocs);
2400 +       if (ret == FALSE)
2401 +         return ret;
2402 +      }
2403 +
2404 +  return TRUE;
2405 +}
2406 +
2407 +static bfd_boolean
2408 +rs_is_good_enough(const struct relax_state *rs, struct fragment *frag,
2409 +                 bfd_vma symval, bfd_vma addr, struct got_entry *got,
2410 +                 struct avr32_reloc_data *ind_data,
2411 +                 bfd_signed_vma offset_adjust)
2412 +{
2413 +  bfd_signed_vma target = 0;
2414 +
2415 +  switch (rs->reftype)
2416 +    {
2417 +    case REF_ABSOLUTE:
2418 +      target = symval;
2419 +      break;
2420 +    case REF_PCREL:
2421 +      target = symval - addr;
2422 +      break;
2423 +    case REF_CPOOL:
2424 +      /* cpool frags are always in the same section and always after
2425 +        all frags referring to it.  So it's always correct to add in
2426 +        offset_adjust here.  */
2427 +      target = (ind_data->add_frag->offset + ind_data->add_frag->offset_adjust
2428 +               + offset_adjust - frag->offset - frag->offset_adjust);
2429 +      break;
2430 +    case REF_GOT:
2431 +      target = got->offset;
2432 +      break;
2433 +    default:
2434 +      abort();
2435 +    }
2436 +
2437 +  if (target >= rs->range_min && target <= rs->range_max)
2438 +    return TRUE;
2439 +  else
2440 +    return FALSE;
2441 +}
2442 +
2443 +static bfd_boolean
2444 +avr32_size_frags(bfd *abfd, asection *sec, struct bfd_link_info *info)
2445 +{
2446 +  struct elf_avr32_link_hash_table *htab;
2447 +  struct avr32_relax_data *rd;
2448 +  Elf_Internal_Shdr *symtab_hdr;
2449 +  Elf_Internal_Rela *relocs = NULL;
2450 +  Elf_Internal_Sym *isymbuf = NULL;
2451 +  struct got_entry **local_got_ents;
2452 +  struct fragment **local_sym_frag;
2453 +  bfd_boolean ret = FALSE;
2454 +  bfd_signed_vma delta = 0;
2455 +  unsigned int i;
2456 +
2457 +  htab = avr32_elf_hash_table(info);
2458 +  rd = avr32_relax_data(sec);
2459 +
2460 +  if (sec == htab->sgot)
2461 +    {
2462 +      RDBG("Relaxing GOT section (vma: 0x%lx)\n",
2463 +          sec->output_section->vma + sec->output_offset);
2464 +      if (assign_got_offsets(htab))
2465 +       htab->repeat_pass = TRUE;
2466 +      return TRUE;
2467 +    }
2468 +
2469 +  if (!rd->is_relaxable)
2470 +    return TRUE;
2471 +
2472 +  if (!sec->rawsize)
2473 +    sec->rawsize = sec->size;
2474 +
2475 +  symtab_hdr = &elf_tdata(abfd)->symtab_hdr;
2476 +  relocs = retrieve_internal_relocs(abfd, sec, info->keep_memory);
2477 +  if (!relocs)
2478 +    goto out;
2479 +
2480 +  isymbuf = retrieve_local_syms(abfd, info->keep_memory);
2481 +  if (!isymbuf)
2482 +    goto out;
2483 +
2484 +  local_got_ents = elf_local_got_ents(abfd);
2485 +  local_sym_frag = elf_tdata(abfd)->local_sym_frag;
2486 +
2487 +  RDBG("size_frags: %s<%s>\n  vma: 0x%08lx, size: 0x%08lx\n",
2488 +       abfd->filename, sec->name,
2489 +       sec->output_section->vma + sec->output_offset, sec->size);
2490 +
2491 +  for (i = 0; i < rd->frag_count; i++)
2492 +    {
2493 +      struct fragment *frag = &rd->frag[i];
2494 +      struct avr32_reloc_data *r_data = NULL, *ind_data = NULL;
2495 +      const struct relax_state *state, *next_state;
2496 +      struct fragment *target_frag = NULL;
2497 +      asection *sym_sec = NULL;
2498 +      Elf_Internal_Rela *rela;
2499 +      struct got_entry *got;
2500 +      bfd_vma symval, r_offset, addend, addr;
2501 +      bfd_signed_vma size_adjust = 0, distance;
2502 +      unsigned long r_symndx;
2503 +      bfd_boolean defined = TRUE, dynamic = FALSE;
2504 +      unsigned char sym_type;
2505 +
2506 +      frag->offset_adjust += delta;
2507 +      state = next_state = &relax_state[frag->state];
2508 +      rela = frag->rela;
2509 +
2510 +      BFD_ASSERT(state->id == frag->state);
2511 +
2512 +      RDBG("  0x%04lx%c%d: %s [size %ld]", rela ? rela->r_offset : sec->rawsize,
2513 +          (frag->offset_adjust < 0)?'-':'+',
2514 +          abs(frag->offset_adjust), state->name, state->size);
2515 +
2516 +      if (!rela)
2517 +       {
2518 +         RDBG(": no reloc, ignoring\n");
2519 +         continue;
2520 +       }
2521 +
2522 +      BFD_ASSERT((unsigned int)(rela - relocs) < sec->reloc_count);
2523 +      BFD_ASSERT(state != RS_NONE);
2524 +
2525 +      r_offset = rela->r_offset + frag->offset_adjust;
2526 +      addr = sec->output_section->vma + sec->output_offset + r_offset;
2527 +
2528 +      switch (frag->state)
2529 +       {
2530 +       case RS_ALIGN:
2531 +         size_adjust = ((addr + (1 << rela->r_addend) - 1)
2532 +                        & ~((1 << rela->r_addend) - 1));
2533 +         size_adjust -= (sec->output_section->vma + sec->output_offset
2534 +                         + frag->offset + frag->offset_adjust
2535 +                         + frag->size + frag->size_adjust);
2536 +
2537 +         RDBG(": adjusting size %lu -> %lu\n", frag->size + frag->size_adjust,
2538 +              frag->size + frag->size_adjust + size_adjust);
2539 +         break;
2540 +
2541 +       case RS_CPENT:
2542 +         if (frag->refcount == 0 && frag->size_adjust == 0)
2543 +           {
2544 +             RDBG(": discarding frag\n");
2545 +             size_adjust = -4;
2546 +           }
2547 +         else if (frag->refcount > 0 && frag->size_adjust < 0)
2548 +           {
2549 +             RDBG(": un-discarding frag\n");
2550 +             size_adjust = 4;
2551 +           }
2552 +         break;
2553 +
2554 +       default:
2555 +         if (rd->reloc_data)
2556 +           r_data = &rd->reloc_data[frag->rela - relocs];
2557 +
2558 +         /* If this is a cpool reference, we want the symbol that the
2559 +            cpool entry refers to, not the symbol for the cpool entry
2560 +            itself, as we already know what frag it's in.  */
2561 +         if (relax_state[frag->initial_state].reftype == REF_CPOOL)
2562 +           {
2563 +             Elf_Internal_Rela *irela = r_data->add_frag->rela;
2564 +
2565 +             r_symndx = ELF_R_SYM(irela->r_info);
2566 +             addend = irela->r_addend;
2567 +
2568 +             /* The constant pool must be in the same section as the
2569 +                reloc referring to it.  */
2570 +             BFD_ASSERT((unsigned long)(irela - relocs) < sec->reloc_count);
2571 +
2572 +             ind_data = r_data;
2573 +             r_data = &rd->reloc_data[irela - relocs];
2574 +           }
2575 +         else
2576 +           {
2577 +             r_symndx = ELF_R_SYM(rela->r_info);
2578 +             addend = rela->r_addend;
2579 +           }
2580 +
2581 +         /* Get the value of the symbol referred to by the reloc.  */
2582 +         if (r_symndx < symtab_hdr->sh_info)
2583 +           {
2584 +             Elf_Internal_Sym *isym;
2585 +
2586 +             isym = isymbuf + r_symndx;
2587 +             symval = 0;
2588 +
2589 +             RDBG(" local sym %lu: ", r_symndx);
2590 +
2591 +             if (isym->st_shndx == SHN_UNDEF)
2592 +               defined = FALSE;
2593 +             else if (isym->st_shndx == SHN_ABS)
2594 +               sym_sec = bfd_abs_section_ptr;
2595 +             else if (isym->st_shndx == SHN_COMMON)
2596 +               sym_sec = bfd_com_section_ptr;
2597 +             else
2598 +               sym_sec = bfd_section_from_elf_index(abfd, isym->st_shndx);
2599 +
2600 +             symval = isym->st_value;
2601 +             sym_type = ELF_ST_TYPE(isym->st_info);
2602 +             target_frag = local_sym_frag[r_symndx];
2603 +
2604 +             if (local_got_ents)
2605 +               got = local_got_ents[r_symndx];
2606 +             else
2607 +               got = NULL;
2608 +           }
2609 +         else
2610 +           {
2611 +             /* Global symbol */
2612 +             unsigned long index;
2613 +             struct elf_link_hash_entry *h;
2614 +             struct elf_avr32_link_hash_entry *havr;
2615 +
2616 +             index = r_symndx - symtab_hdr->sh_info;
2617 +             h = elf_sym_hashes(abfd)[index];
2618 +             BFD_ASSERT(h != NULL);
2619 +
2620 +             while (h->root.type == bfd_link_hash_indirect
2621 +                    || h->root.type == bfd_link_hash_warning)
2622 +               h = (struct elf_link_hash_entry *)h->root.u.i.link;
2623 +
2624 +             havr = (struct elf_avr32_link_hash_entry *)h;
2625 +             got = h->got.glist;
2626 +
2627 +             symval = 0;
2628 +
2629 +             RDBG(" %s: ", h->root.root.string);
2630 +
2631 +             if (h->root.type != bfd_link_hash_defined
2632 +                 && h->root.type != bfd_link_hash_defweak)
2633 +               {
2634 +                 RDBG("(undef)");
2635 +                 defined = FALSE;
2636 +               }
2637 +             else if ((info->shared && !info->symbolic && h->dynindx != -1)
2638 +                      || (htab->root.dynamic_sections_created
2639 +                          && h->def_dynamic && !h->def_regular))
2640 +               {
2641 +                 RDBG("(dynamic)");
2642 +                 dynamic = TRUE;
2643 +                 sym_sec = h->root.u.def.section;
2644 +               }
2645 +             else
2646 +               {
2647 +                 sym_sec = h->root.u.def.section;
2648 +                 symval = h->root.u.def.value;
2649 +                 target_frag = havr->sym_frag;
2650 +               }
2651 +
2652 +             sym_type = h->type;
2653 +           }
2654 +
2655 +         /* Thanks to elf32-ppc for this one.  */
2656 +         if (sym_sec && sym_sec->sec_info_type == ELF_INFO_TYPE_MERGE)
2657 +           {
2658 +             /* At this stage in linking, no SEC_MERGE symbol has been
2659 +                adjusted, so all references to such symbols need to be
2660 +                passed through _bfd_merged_section_offset.  (Later, in
2661 +                relocate_section, all SEC_MERGE symbols *except* for
2662 +                section symbols have been adjusted.)
2663 +
2664 +                SEC_MERGE sections are not relaxed by us, as they
2665 +                shouldn't contain any code.  */
2666 +
2667 +             BFD_ASSERT(!target_frag && !(r_data && r_data->add_frag));
2668 +
2669 +             /* gas may reduce relocations against symbols in SEC_MERGE
2670 +                sections to a relocation against the section symbol when
2671 +                the original addend was zero.  When the reloc is against
2672 +                a section symbol we should include the addend in the
2673 +                offset passed to _bfd_merged_section_offset, since the
2674 +                location of interest is the original symbol.  On the
2675 +                other hand, an access to "sym+addend" where "sym" is not
2676 +                a section symbol should not include the addend;  Such an
2677 +                access is presumed to be an offset from "sym";  The
2678 +                location of interest is just "sym".  */
2679 +             RDBG("\n    MERGE: %s: 0x%lx+0x%lx+0x%lx -> ",
2680 +                  (sym_type == STT_SECTION)?"section":"not section",
2681 +                  sym_sec->output_section->vma + sym_sec->output_offset,
2682 +                  symval, addend);
2683 +
2684 +             if (sym_type == STT_SECTION)
2685 +               symval += addend;
2686 +
2687 +             symval = (_bfd_merged_section_offset
2688 +                       (abfd, &sym_sec,
2689 +                        elf_section_data(sym_sec)->sec_info, symval));
2690 +
2691 +             if (sym_type != STT_SECTION)
2692 +               symval += addend;
2693 +           }
2694 +         else
2695 +           symval += addend;
2696 +
2697 +         if (defined && !dynamic)
2698 +           {
2699 +             RDBG("0x%lx+0x%lx",
2700 +                  sym_sec->output_section->vma + sym_sec->output_offset,
2701 +                  symval);
2702 +             symval += sym_sec->output_section->vma + sym_sec->output_offset;
2703 +           }
2704 +
2705 +         if (r_data && r_data->add_frag)
2706 +           /* If the add_frag pointer is set, it means that this reloc
2707 +              has an addend that may be affected by relaxation.  */
2708 +           target_frag = r_data->add_frag;
2709 +
2710 +         if (target_frag)
2711 +           {
2712 +             symval += target_frag->offset_adjust;
2713 +
2714 +             /* If target_frag comes after this frag in the same
2715 +                section, we should assume that it will be moved by
2716 +                the same amount we are.  */
2717 +             if ((target_frag - rd->frag) < (int)rd->frag_count
2718 +                 && target_frag > frag)
2719 +               symval += delta;
2720 +           }
2721 +
2722 +         distance = symval - addr;
2723 +
2724 +         /* First, try to make a direct reference.  If the symbol is
2725 +            dynamic or undefined, we must take care not to change its
2726 +            reference type, that is, we can't make it direct.
2727 +
2728 +            Also, it seems like some sections may actually be resized
2729 +            after the relaxation code is done, so we can't really
2730 +            trust that our "distance" is correct.  There's really no
2731 +            easy solution to this problem, so we'll just disallow
2732 +            direct references to SEC_DATA sections.
2733 +
2734 +            Oh, and .bss isn't actually SEC_DATA, so we disallow
2735 +            !SEC_HAS_CONTENTS as well. */
2736 +         if (!dynamic && defined
2737 +             && (htab->direct_data_refs
2738 +                 || (!(sym_sec->flags & SEC_DATA)
2739 +                     && (sym_sec->flags & SEC_HAS_CONTENTS)))
2740 +             && next_state->direct)
2741 +           {
2742 +             next_state = &relax_state[next_state->direct];
2743 +             RDBG(" D-> %s", next_state->name);
2744 +           }
2745 +
2746 +         /* Iterate backwards until we find a state that fits.  */
2747 +         while (next_state->prev
2748 +                && !rs_is_good_enough(next_state, frag, symval, addr,
2749 +                                      got, ind_data, delta))
2750 +           {
2751 +             next_state = &relax_state[next_state->prev];
2752 +             RDBG(" P-> %s", next_state->name);
2753 +           }
2754 +
2755 +         /* Then try to find the best possible state.  */
2756 +         while (next_state->next)
2757 +           {
2758 +             const struct relax_state *candidate;
2759 +
2760 +             candidate = &relax_state[next_state->next];
2761 +             if (!rs_is_good_enough(candidate, frag, symval, addr, got,
2762 +                                    ind_data, delta))
2763 +               break;
2764 +
2765 +             next_state = candidate;
2766 +             RDBG(" N-> %s", next_state->name);
2767 +           }
2768 +
2769 +         RDBG(" [size %ld]\n", next_state->size);
2770 +
2771 +         BFD_ASSERT(next_state->id);
2772 +         BFD_ASSERT(!dynamic || next_state->reftype == REF_GOT);
2773 +
2774 +         size_adjust = next_state->size - state->size;
2775 +
2776 +         /* There's a theoretical possibility that shrinking one frag
2777 +            may cause another to grow, which may cause the first one to
2778 +            grow as well, and we're back where we started.  Avoid this
2779 +            scenario by disallowing a frag that has grown to ever
2780 +            shrink again.  */
2781 +         if (state->reftype == REF_GOT && next_state->reftype != REF_GOT)
2782 +           {
2783 +             if (frag->has_grown)
2784 +               next_state = state;
2785 +             else
2786 +               unref_got_entry(htab, got);
2787 +           }
2788 +         else if (state->reftype != REF_GOT && next_state->reftype == REF_GOT)
2789 +           {
2790 +             ref_got_entry(htab, got);
2791 +             frag->has_grown = TRUE;
2792 +           }
2793 +         else if (state->reftype == REF_CPOOL
2794 +                  && next_state->reftype != REF_CPOOL)
2795 +           {
2796 +             if (frag->has_grown)
2797 +               next_state = state;
2798 +             else
2799 +               ind_data->add_frag->refcount--;
2800 +           }
2801 +         else if (state->reftype != REF_CPOOL
2802 +                  && next_state->reftype == REF_CPOOL)
2803 +           {
2804 +             ind_data->add_frag->refcount++;
2805 +             frag->has_grown = TRUE;
2806 +           }
2807 +         else
2808 +           {
2809 +             if (frag->has_grown && size_adjust < 0)
2810 +               next_state = state;
2811 +             else if (size_adjust > 0)
2812 +               frag->has_grown = TRUE;
2813 +           }
2814 +
2815 +         size_adjust = next_state->size - state->size;
2816 +         frag->state = next_state->id;
2817 +
2818 +         break;
2819 +       }
2820 +
2821 +      if (size_adjust)
2822 +       htab->repeat_pass = TRUE;
2823 +
2824 +      frag->size_adjust += size_adjust;
2825 +      sec->size += size_adjust;
2826 +      delta += size_adjust;
2827 +
2828 +      BFD_ASSERT((frag->offset + frag->offset_adjust
2829 +                 + frag->size + frag->size_adjust)
2830 +                == (frag[1].offset + frag[1].offset_adjust + delta));
2831 +    }
2832 +
2833 +  ret = TRUE;
2834 +
2835 + out:
2836 +  release_local_syms(abfd, isymbuf);
2837 +  release_internal_relocs(sec, relocs);
2838 +  return ret;
2839 +}
2840 +
2841 +static bfd_boolean
2842 +adjust_global_symbol(struct elf_avr32_link_hash_entry *havr,
2843 +                    struct bfd_link_info *info ATTRIBUTE_UNUSED)
2844 +{
2845 +  struct elf_link_hash_entry *h = &havr->root;
2846 +
2847 +  if (havr->sym_frag && (h->root.type == bfd_link_hash_defined
2848 +                        || h->root.type == bfd_link_hash_defweak))
2849 +    {
2850 +      RDBG("adjust_global_symbol: %s 0x%08lx -> 0x%08lx\n",
2851 +          h->root.root.string, h->root.u.def.value,
2852 +          h->root.u.def.value + havr->sym_frag->offset_adjust);
2853 +      h->root.u.def.value += havr->sym_frag->offset_adjust;
2854 +    }
2855 +  return TRUE;
2856 +}
2857 +
2858 +static bfd_boolean
2859 +adjust_syms(struct bfd_link_info *info)
2860 +{
2861 +  struct elf_avr32_link_hash_table *htab;
2862 +  bfd *abfd;
2863 +
2864 +  htab = avr32_elf_hash_table(info);
2865 +  elf_link_hash_traverse(&htab->root, adjust_global_symbol, info);
2866 +
2867 +  for (abfd = info->input_bfds; abfd; abfd = abfd->link_next)
2868 +    {
2869 +      Elf_Internal_Sym *isymbuf;
2870 +      struct fragment **local_sym_frag, *frag;
2871 +      unsigned int i, sym_count;
2872 +
2873 +      sym_count = elf_tdata(abfd)->symtab_hdr.sh_info;
2874 +      if (sym_count == 0)
2875 +       continue;
2876 +
2877 +      isymbuf = retrieve_local_syms(abfd, info->keep_memory);
2878 +      if (!isymbuf)
2879 +       return FALSE;
2880 +
2881 +      local_sym_frag = elf_tdata(abfd)->local_sym_frag;
2882 +
2883 +      for (i = 0; i < sym_count; i++)
2884 +       {
2885 +         frag = local_sym_frag[i];
2886 +         if (frag)
2887 +           {
2888 +             RDBG("adjust_local_symbol: %s[%u] 0x%08lx -> 0x%08lx\n",
2889 +                  abfd->filename, i, isymbuf[i].st_value,
2890 +                  isymbuf[i].st_value + frag->offset_adjust);
2891 +             isymbuf[i].st_value += frag->offset_adjust;
2892 +           }
2893 +       }
2894 +
2895 +      release_local_syms(abfd, isymbuf);
2896 +    }
2897 +
2898 +  htab->symbols_adjusted = TRUE;
2899 +  return TRUE;
2900 +}
2901 +
2902 +static bfd_boolean
2903 +adjust_relocs(bfd *abfd, asection *sec, struct bfd_link_info *info)
2904 +{
2905 +  struct avr32_relax_data *rd;
2906 +  Elf_Internal_Rela *relocs;
2907 +  Elf_Internal_Shdr *symtab_hdr;
2908 +  unsigned int i;
2909 +  bfd_boolean ret = FALSE;
2910 +
2911 +  rd = avr32_relax_data(sec);
2912 +  if (!rd->reloc_data)
2913 +    return TRUE;
2914 +
2915 +  RDBG("adjust_relocs: %s<%s> (count: %u)\n", abfd->filename, sec->name,
2916 +       sec->reloc_count);
2917 +
2918 +  relocs = retrieve_internal_relocs(abfd, sec, info->keep_memory);
2919 +  if (!relocs)
2920 +    return FALSE;
2921 +
2922 +  symtab_hdr = &elf_tdata(abfd)->symtab_hdr;
2923 +
2924 +  for (i = 0; i < sec->reloc_count; i++)
2925 +    {
2926 +      Elf_Internal_Rela *rela = &relocs[i];
2927 +      struct avr32_reloc_data *r_data = &rd->reloc_data[i];
2928 +      struct fragment *sym_frag;
2929 +      unsigned long r_symndx;
2930 +
2931 +      if (r_data->add_frag)
2932 +       {
2933 +         r_symndx = ELF_R_SYM(rela->r_info);
2934 +
2935 +         if (r_symndx < symtab_hdr->sh_info)
2936 +           sym_frag = elf_tdata(abfd)->local_sym_frag[r_symndx];
2937 +         else
2938 +           {
2939 +             struct elf_link_hash_entry *h;
2940 +
2941 +             h = elf_sym_hashes(abfd)[r_symndx - symtab_hdr->sh_info];
2942 +
2943 +             while (h->root.type == bfd_link_hash_indirect
2944 +                    || h->root.type == bfd_link_hash_warning)
2945 +               h = (struct elf_link_hash_entry *)h->root.u.i.link;
2946 +
2947 +             BFD_ASSERT(h->root.type == bfd_link_hash_defined
2948 +                        || h->root.type == bfd_link_hash_defweak);
2949 +
2950 +             sym_frag = ((struct elf_avr32_link_hash_entry *)h)->sym_frag;
2951 +           }
2952 +
2953 +         RDBG("    addend: 0x%08lx -> 0x%08lx\n",
2954 +              rela->r_addend,
2955 +              rela->r_addend + r_data->add_frag->offset_adjust
2956 +              - (sym_frag ? sym_frag->offset_adjust : 0));
2957 +
2958 +         /* If this is against a section symbol, we won't find any
2959 +            sym_frag, so we'll just adjust the addend.  */
2960 +         rela->r_addend += r_data->add_frag->offset_adjust;
2961 +         if (sym_frag)
2962 +           rela->r_addend -= sym_frag->offset_adjust;
2963 +
2964 +         if (r_data->sub_frag)
2965 +           {
2966 +             bfd_byte *contents;
2967 +             bfd_signed_vma diff;
2968 +
2969 +             contents = retrieve_contents(abfd, sec, info->keep_memory);
2970 +             if (!contents)
2971 +               goto out;
2972 +
2973 +             /* I realize now that sub_frag is misnamed.  It's
2974 +                actually add_frag which is subtracted in this
2975 +                case...  */
2976 +             diff = bfd_get_signed_32(abfd, contents + rela->r_offset);
2977 +             diff += (r_data->sub_frag->offset_adjust
2978 +                      - r_data->add_frag->offset_adjust);
2979 +             bfd_put_32(abfd, diff, contents + rela->r_offset);
2980 +
2981 +             RDBG("   0x%lx: DIFF32 updated: 0x%lx\n", rela->r_offset, diff);
2982 +
2983 +             release_contents(sec, contents);
2984 +           }
2985 +       }
2986 +      else
2987 +       BFD_ASSERT(!r_data->sub_frag);
2988 +    }
2989 +
2990 +  ret = TRUE;
2991 +
2992 + out:
2993 +  release_internal_relocs(sec, relocs);
2994 +  return ret;
2995 +}
2996 +
2997 +static bfd_boolean
2998 +avr32_move_data(bfd *abfd, asection *sec, struct bfd_link_info *info)
2999 +{
3000 +  struct elf_avr32_link_hash_table *htab;
3001 +  struct avr32_relax_data *rd;
3002 +  struct fragment *frag, *fragend;
3003 +  Elf_Internal_Rela *relocs = NULL;
3004 +  bfd_byte *contents = NULL;
3005 +  unsigned int i;
3006 +  bfd_boolean ret = FALSE;
3007 +
3008 +  htab = avr32_elf_hash_table(info);
3009 +  rd = avr32_relax_data(sec);
3010 +
3011 +  if (!htab->symbols_adjusted)
3012 +    if (!adjust_syms(info))
3013 +      return FALSE;
3014 +
3015 +  if (rd->is_relaxable)
3016 +    {
3017 +      /* Resize the section first, so that we can be sure that enough
3018 +        memory is allocated in case the section has grown.  */
3019 +      if (sec->size > sec->rawsize
3020 +         && elf_section_data(sec)->this_hdr.contents)
3021 +       {
3022 +         /* We must not use cached data if the section has grown.  */
3023 +         free(elf_section_data(sec)->this_hdr.contents);
3024 +         elf_section_data(sec)->this_hdr.contents = NULL;
3025 +       }
3026 +
3027 +      relocs = retrieve_internal_relocs(abfd, sec, info->keep_memory);
3028 +      if (!relocs)
3029 +       goto out;
3030 +      contents = retrieve_contents(abfd, sec, info->keep_memory);
3031 +      if (!contents)
3032 +       goto out;
3033 +
3034 +      fragend = rd->frag + rd->frag_count;
3035 +
3036 +      RDBG("move_data: %s<%s>: relocs=%p, contents=%p\n",
3037 +          abfd->filename, sec->name, relocs, contents);
3038 +
3039 +      /* First, move the data into place. We must take care to move
3040 +        frags in the right order so that we don't accidentally
3041 +        overwrite parts of the next frag.  */
3042 +      for (frag = rd->frag; frag < fragend; frag++)
3043 +       {
3044 +         RDBG("    0x%08lx%c0x%x: size 0x%lx%c0x%x\n",
3045 +              frag->offset, frag->offset_adjust >= 0 ? '+' : '-',
3046 +              abs(frag->offset_adjust),
3047 +              frag->size, frag->size_adjust >= 0 ? '+' : '-',
3048 +              abs(frag->size_adjust));
3049 +         if (frag->offset_adjust > 0)
3050 +           {
3051 +             struct fragment *prev = frag - 1;
3052 +             struct fragment *last;
3053 +
3054 +             for (last = frag; last < fragend && last->offset_adjust > 0;
3055 +                  last++) ;
3056 +
3057 +             if (last == fragend)
3058 +               last--;
3059 +
3060 +             for (frag = last; frag != prev; frag--)
3061 +               {
3062 +                 if (frag->offset_adjust
3063 +                     && frag->size + frag->size_adjust > 0)
3064 +                   {
3065 +                     RDBG("memmove 0x%lx -> 0x%lx (size %lu)\n",
3066 +                          frag->offset, frag->offset + frag->offset_adjust,
3067 +                          frag->size + frag->size_adjust);
3068 +                     memmove(contents + frag->offset + frag->offset_adjust,
3069 +                             contents + frag->offset,
3070 +                             frag->size + frag->size_adjust);
3071 +                   }
3072 +               }
3073 +             frag = last;
3074 +           }
3075 +         else if (frag->offset_adjust && frag->size + frag->size_adjust > 0)
3076 +           {
3077 +             RDBG("memmove 0x%lx -> 0x%lx (size %lu)\n",
3078 +                  frag->offset, frag->offset + frag->offset_adjust,
3079 +                  frag->size + frag->size_adjust);
3080 +             memmove(contents + frag->offset + frag->offset_adjust,
3081 +                     contents + frag->offset,
3082 +                     frag->size + frag->size_adjust);
3083 +           }
3084 +       }
3085 +
3086 +      i = 0;
3087 +
3088 +      for (frag = rd->frag; frag < fragend; frag++)
3089 +       {
3090 +         const struct relax_state *state, *istate;
3091 +         struct avr32_reloc_data *r_data = NULL;
3092 +
3093 +         istate = &relax_state[frag->initial_state];
3094 +         state = &relax_state[frag->state];
3095 +
3096 +         if (rd->reloc_data)
3097 +           r_data = &rd->reloc_data[frag->rela - relocs];
3098 +
3099 +         BFD_ASSERT((long)(frag->size + frag->size_adjust) >= 0);
3100 +         BFD_ASSERT(state->reftype != REF_CPOOL
3101 +                    || r_data->add_frag->refcount > 0);
3102 +
3103 +         if (istate->reftype == REF_CPOOL && state->reftype != REF_CPOOL)
3104 +           {
3105 +             struct fragment *ifrag;
3106 +
3107 +             /* An indirect reference through the cpool has been
3108 +                converted to a direct reference.  We must update the
3109 +                reloc to point to the symbol itself instead of the
3110 +                constant pool entry.  The reloc type will be updated
3111 +                later.  */
3112 +             ifrag = r_data->add_frag;
3113 +             frag->rela->r_info = ifrag->rela->r_info;
3114 +             frag->rela->r_addend = ifrag->rela->r_addend;
3115 +
3116 +             /* Copy the reloc data so the addend will be adjusted
3117 +                correctly later.  */
3118 +             *r_data = rd->reloc_data[ifrag->rela - relocs];
3119 +           }
3120 +
3121 +         /* Move all relocs covered by this frag.  */
3122 +         if (frag->rela)
3123 +           BFD_ASSERT(&relocs[i] <= frag->rela);
3124 +         else
3125 +           BFD_ASSERT((frag + 1) == fragend && frag->state == RS_NONE);
3126 +
3127 +         if (frag == rd->frag)
3128 +           BFD_ASSERT(i == 0);
3129 +         else
3130 +           BFD_ASSERT(&relocs[i] > frag[-1].rela);
3131 +
3132 +         /* If non-null, frag->rela is the last relocation in the
3133 +            fragment.  frag->rela can only be null in the last
3134 +            fragment, so in that case, we'll just do the rest.  */
3135 +         for (; (i < sec->reloc_count
3136 +                 && (!frag->rela || &relocs[i] <= frag->rela)); i++)
3137 +           {
3138 +             RDBG("[%4u] r_offset 0x%08lx -> 0x%08lx\n", i, relocs[i].r_offset,
3139 +                  relocs[i].r_offset + frag->offset_adjust);
3140 +             relocs[i].r_offset += frag->offset_adjust;
3141 +           }
3142 +
3143 +         if (frag->refcount == 0)
3144 +           {
3145 +             /* If this frag is to be discarded, make sure we won't
3146 +                relocate it later on.  */
3147 +             BFD_ASSERT(frag->state == RS_CPENT);
3148 +             frag->rela->r_info = ELF_R_INFO(ELF_R_SYM(frag->rela->r_info),
3149 +                                           R_AVR32_NONE);
3150 +           }
3151 +         else if (frag->state == RS_ALIGN)
3152 +           {
3153 +             bfd_vma addr, addr_end;
3154 +
3155 +             addr = frag->rela->r_offset;
3156 +             addr_end = (frag->offset + frag->offset_adjust
3157 +                         + frag->size + frag->size_adjust);
3158 +
3159 +             /* If the section is executable, insert NOPs.
3160 +                Otherwise, insert zeroes.  */
3161 +             if (sec->flags & SEC_CODE)
3162 +               {
3163 +                 if (addr & 1)
3164 +                   {
3165 +                     bfd_put_8(abfd, 0, contents + addr);
3166 +                     addr++;
3167 +                   }
3168 +
3169 +                 BFD_ASSERT(!((addr_end - addr) & 1));
3170 +
3171 +                 while (addr < addr_end)
3172 +                   {
3173 +                     bfd_put_16(abfd, NOP_OPCODE, contents + addr);
3174 +                     addr += 2;
3175 +                   }
3176 +               }
3177 +             else
3178 +               memset(contents + addr, 0, addr_end - addr);
3179 +           }
3180 +         else if (state->opcode_mask)
3181 +           {
3182 +             bfd_vma insn;
3183 +
3184 +             /* Update the opcode and the relocation type unless it's a
3185 +                "special" relax state (i.e. RS_NONE, RS_ALIGN or
3186 +                RS_CPENT.), in which case the opcode mask is zero.  */
3187 +             insn = bfd_get_32(abfd, contents + frag->rela->r_offset);
3188 +             insn &= ~state->opcode_mask;
3189 +             insn |= state->opcode;
3190 +             RDBG("    0x%lx: inserting insn %08lx\n",
3191 +                  frag->rela->r_offset, insn);
3192 +             bfd_put_32(abfd, insn, contents + frag->rela->r_offset);
3193 +
3194 +             frag->rela->r_info = ELF_R_INFO(ELF_R_SYM(frag->rela->r_info),
3195 +                                             state->r_type);
3196 +           }
3197 +
3198 +         if ((frag + 1) == fragend)
3199 +           BFD_ASSERT((frag->offset + frag->size + frag->offset_adjust
3200 +                       + frag->size_adjust) == sec->size);
3201 +         else
3202 +           BFD_ASSERT((frag->offset + frag->size + frag->offset_adjust
3203 +                       + frag->size_adjust)
3204 +                      == (frag[1].offset + frag[1].offset_adjust));
3205 +       }
3206 +    }
3207 +
3208 +  /* Adjust reloc addends and DIFF32 differences */
3209 +  if (!adjust_relocs(abfd, sec, info))
3210 +    return FALSE;
3211 +
3212 +  ret = TRUE;
3213 +
3214 + out:
3215 +  release_contents(sec, contents);
3216 +  release_internal_relocs(sec, relocs);
3217 +  return ret;
3218 +}
3219 +
3220 +static bfd_boolean
3221 +avr32_elf_relax_section(bfd *abfd, asection *sec,
3222 +                       struct bfd_link_info *info, bfd_boolean *again)
3223 +{
3224 +  struct elf_avr32_link_hash_table *htab;
3225 +  struct avr32_relax_data *rd;
3226 +
3227 +  *again = FALSE;
3228 +  if (info->relocatable)
3229 +    return TRUE;
3230 +
3231 +  htab = avr32_elf_hash_table(info);
3232 +  if ((!(sec->flags & SEC_RELOC) || sec->reloc_count == 0)
3233 +      && sec != htab->sgot)
3234 +    return TRUE;
3235 +
3236 +  if (!htab->relocations_analyzed)
3237 +    {
3238 +      if (!analyze_relocations(info))
3239 +       return FALSE;
3240 +      htab->relocations_analyzed = TRUE;
3241 +    }
3242 +
3243 +  rd = avr32_relax_data(sec);
3244 +
3245 +  if (rd->iteration != htab->relax_iteration)
3246 +    {
3247 +      if (!htab->repeat_pass)
3248 +       htab->relax_pass++;
3249 +      htab->relax_iteration++;
3250 +      htab->repeat_pass = FALSE;
3251 +    }
3252 +
3253 +  rd->iteration++;
3254 +
3255 +  switch (htab->relax_pass)
3256 +    {
3257 +    case RELAX_PASS_SIZE_FRAGS:
3258 +      if (!avr32_size_frags(abfd, sec, info))
3259 +       return FALSE;
3260 +      *again = TRUE;
3261 +      break;
3262 +    case RELAX_PASS_MOVE_DATA:
3263 +      if (!avr32_move_data(abfd, sec, info))
3264 +       return FALSE;
3265 +      break;
3266 +  }
3267 +
3268 +  return TRUE;
3269 +}
3270 +
3271 +
3272 +/* Relocation */
3273 +
3274 +static bfd_reloc_status_type
3275 +avr32_check_reloc_value(asection *sec, Elf_Internal_Rela *rela,
3276 +                       bfd_signed_vma relocation, reloc_howto_type *howto);
3277 +static bfd_reloc_status_type
3278 +avr32_final_link_relocate(reloc_howto_type *howto, bfd *input_bfd,
3279 +                         asection *input_section, bfd_byte *contents,
3280 +                         Elf_Internal_Rela *rel, bfd_vma value);
3281 +static bfd_boolean
3282 +avr32_elf_relocate_section(bfd *output_bfd, struct bfd_link_info *info,
3283 +                          bfd *input_bfd, asection *input_section,
3284 +                          bfd_byte *contents, Elf_Internal_Rela *relocs,
3285 +                          Elf_Internal_Sym *local_syms,
3286 +                          asection **local_sections);
3287 +
3288 +
3289 +#define symbol_address(symbol) \
3290 +  symbol->value + symbol->section->output_section->vma \
3291 +  + symbol->section->output_offset
3292 +
3293 +#define avr32_elf_insert_field(size, field, abfd, reloc_entry, data)   \
3294 +  do                                                                   \
3295 +    {                                                                  \
3296 +      unsigned long x;                                                 \
3297 +      x = bfd_get_##size (abfd, data + reloc_entry->address);          \
3298 +      x &= ~reloc_entry->howto->dst_mask;                              \
3299 +      x |= field & reloc_entry->howto->dst_mask;                       \
3300 +      bfd_put_##size (abfd, (bfd_vma) x, data + reloc_entry->address); \
3301 +    }                                                                  \
3302 +  while(0)
3303 +
3304 +static bfd_reloc_status_type
3305 +avr32_check_reloc_value(asection *sec ATTRIBUTE_UNUSED,
3306 +                       Elf_Internal_Rela *rela ATTRIBUTE_UNUSED,
3307 +                       bfd_signed_vma relocation,
3308 +                       reloc_howto_type *howto)
3309 +{
3310 +  bfd_vma reloc_u;
3311 +
3312 +  /* We take "complain_overflow_dont" to mean "don't complain on
3313 +     alignment either". This way, we don't have to special-case
3314 +     R_AVR32_HI16 */
3315 +  if (howto->complain_on_overflow == complain_overflow_dont)
3316 +    return bfd_reloc_ok;
3317 +
3318 +  /* Check if the value is correctly aligned */
3319 +  if (relocation & ((1 << howto->rightshift) - 1))
3320 +    {
3321 +      RDBG("misaligned: %s<%s+%lx>: %s: 0x%lx (align %u)\n",
3322 +          sec->owner->filename, sec->name, rela->r_offset,
3323 +          howto->name, relocation, howto->rightshift);
3324 +      return bfd_reloc_overflow;
3325 +    }
3326 +
3327 +  /* Now, get rid of the unnecessary bits */
3328 +  relocation >>= howto->rightshift;
3329 +  reloc_u = (bfd_vma)relocation;
3330 +
3331 +  switch (howto->complain_on_overflow)
3332 +    {
3333 +    case complain_overflow_unsigned:
3334 +    case complain_overflow_bitfield:
3335 +      if (reloc_u > (unsigned long)((1 << howto->bitsize) - 1))
3336 +       {
3337 +         RDBG("unsigned overflow: %s<%s+%lx>: %s: 0x%lx (size %u)\n",
3338 +              sec->owner->filename, sec->name, rela->r_offset,
3339 +              howto->name, reloc_u, howto->bitsize);
3340 +         RDBG("reloc vma: 0x%lx\n",
3341 +              sec->output_section->vma + sec->output_offset + rela->r_offset);
3342 +
3343 +         return bfd_reloc_overflow;
3344 +       }
3345 +      break;
3346 +    case complain_overflow_signed:
3347 +      if (relocation > (1 << (howto->bitsize - 1)) - 1)
3348 +       {
3349 +         RDBG("signed overflow: %s<%s+%lx>: %s: 0x%lx (size %u)\n",
3350 +              sec->owner->filename, sec->name, rela->r_offset,
3351 +              howto->name, reloc_u, howto->bitsize);
3352 +         RDBG("reloc vma: 0x%lx\n",
3353 +              sec->output_section->vma + sec->output_offset + rela->r_offset);
3354 +
3355 +         return bfd_reloc_overflow;
3356 +       }
3357 +      if (relocation < -(1 << (howto->bitsize - 1)))
3358 +       {
3359 +         RDBG("signed overflow: %s<%s+%lx>: %s: -0x%lx (size %u)\n",
3360 +              sec->owner->filename, sec->name, rela->r_offset,
3361 +              howto->name, -relocation, howto->bitsize);
3362 +         RDBG("reloc vma: 0x%lx\n",
3363 +              sec->output_section->vma + sec->output_offset + rela->r_offset);
3364 +
3365 +         return bfd_reloc_overflow;
3366 +       }
3367 +      break;
3368 +    default:
3369 +      abort();
3370 +    }
3371 +
3372 +  return bfd_reloc_ok;
3373 +}
3374 +
3375 +
3376 +static bfd_reloc_status_type
3377 +avr32_final_link_relocate(reloc_howto_type *howto,
3378 +                         bfd *input_bfd,
3379 +                         asection *input_section,
3380 +                         bfd_byte *contents,
3381 +                         Elf_Internal_Rela *rel,
3382 +                         bfd_vma value)
3383 +{
3384 +  bfd_vma field;
3385 +  bfd_vma relocation;
3386 +  bfd_reloc_status_type status;
3387 +  bfd_byte *p = contents + rel->r_offset;
3388 +  unsigned long x;
3389 +
3390 +  pr_debug("  (6b) final link relocate\n");
3391 +
3392 +  /* Sanity check the address */
3393 +  if (rel->r_offset > input_section->size)
3394 +    {
3395 +      (*_bfd_error_handler)
3396 +       ("%B: %A+0x%lx: offset out of range (section size: 0x%lx)",
3397 +        input_bfd, input_section, rel->r_offset, input_section->size);
3398 +      return bfd_reloc_outofrange;
3399 +    }
3400 +
3401 +  relocation = value + rel->r_addend;
3402 +
3403 +  if (howto->pc_relative)
3404 +    {
3405 +      bfd_vma addr;
3406 +
3407 +      addr = input_section->output_section->vma
3408 +       + input_section->output_offset + rel->r_offset;
3409 +      addr &= ~0UL << howto->rightshift;
3410 +      relocation -= addr;
3411 +    }
3412 +
3413 +  switch (ELF32_R_TYPE(rel->r_info))
3414 +    {
3415 +    case R_AVR32_16N_PCREL:
3416 +      /* sub reg, pc, . - (sym + addend) */
3417 +      relocation = -relocation;
3418 +      break;
3419 +    }
3420 +
3421 +  status = avr32_check_reloc_value(input_section, rel, relocation, howto);
3422 +
3423 +  relocation >>= howto->rightshift;
3424 +  if (howto->bitsize == 21)
3425 +    field = (relocation & 0xffff)
3426 +      | ((relocation & 0x10000) << 4)
3427 +      | ((relocation & 0x1e0000) << 8);
3428 +  else if (howto->bitsize == 12)
3429 +    field = (relocation & 0xff) | ((relocation & 0xf00) << 4);
3430 +  else if (howto->bitsize == 10)
3431 +    field = ((relocation & 0xff) << 4)
3432 +      | ((relocation & 0x300) >> 8);
3433 +  else
3434 +    field = relocation << howto->bitpos;
3435 +
3436 +  switch (howto->size)
3437 +    {
3438 +    case 0:
3439 +      x = bfd_get_8 (input_bfd, p);
3440 +      x &= ~howto->dst_mask;
3441 +      x |= field & howto->dst_mask;
3442 +      bfd_put_8 (input_bfd, (bfd_vma) x, p);
3443 +      break;
3444 +    case 1:
3445 +      x = bfd_get_16 (input_bfd, p);
3446 +      x &= ~howto->dst_mask;
3447 +      x |= field & howto->dst_mask;
3448 +      bfd_put_16 (input_bfd, (bfd_vma) x, p);
3449 +      break;
3450 +    case 2:
3451 +      x = bfd_get_32 (input_bfd, p);
3452 +      x &= ~howto->dst_mask;
3453 +      x |= field & howto->dst_mask;
3454 +      bfd_put_32 (input_bfd, (bfd_vma) x, p);
3455 +      break;
3456 +    default:
3457 +      abort();
3458 +    }
3459 +
3460 +  return status;
3461 +}
3462 +
3463 +/* (6) Apply relocations to the normal (non-dynamic) sections */
3464 +
3465 +static bfd_boolean
3466 +avr32_elf_relocate_section(bfd *output_bfd, struct bfd_link_info *info,
3467 +                          bfd *input_bfd, asection *input_section,
3468 +                          bfd_byte *contents, Elf_Internal_Rela *relocs,
3469 +                          Elf_Internal_Sym *local_syms,
3470 +                          asection **local_sections)
3471 +{
3472 +  struct elf_avr32_link_hash_table *htab;
3473 +  Elf_Internal_Shdr *symtab_hdr;
3474 +  Elf_Internal_Rela *rel, *relend;
3475 +  struct elf_link_hash_entry **sym_hashes;
3476 +  struct got_entry **local_got_ents;
3477 +  asection *sgot;
3478 +  asection *srelgot;
3479 +
3480 +  pr_debug("(6) relocate section %s:<%s> (size 0x%lx)\n",
3481 +          input_bfd->filename, input_section->name, input_section->size);
3482 +
3483 +  /* If we're doing a partial link, we don't have to do anything since
3484 +     we're using RELA relocations */
3485 +  if (info->relocatable)
3486 +    return TRUE;
3487 +
3488 +  htab = avr32_elf_hash_table(info);
3489 +  symtab_hdr = &elf_tdata(input_bfd)->symtab_hdr;
3490 +  sym_hashes = elf_sym_hashes(input_bfd);
3491 +  local_got_ents = elf_local_got_ents(input_bfd);
3492 +  sgot = htab->sgot;
3493 +  srelgot = htab->srelgot;
3494 +
3495 +  relend = relocs + input_section->reloc_count;
3496 +  for (rel = relocs; rel < relend; rel++)
3497 +    {
3498 +      unsigned long r_type, r_symndx;
3499 +      reloc_howto_type *howto;
3500 +      Elf_Internal_Sym *sym = NULL;
3501 +      struct elf_link_hash_entry *h = NULL;
3502 +      asection *sec = NULL;
3503 +      bfd_vma value;
3504 +      bfd_vma offset;
3505 +      bfd_reloc_status_type status;
3506 +
3507 +      r_type = ELF32_R_TYPE(rel->r_info);
3508 +      r_symndx = ELF32_R_SYM(rel->r_info);
3509 +
3510 +      if (r_type == R_AVR32_NONE
3511 +         || r_type == R_AVR32_ALIGN
3512 +         || r_type == R_AVR32_DIFF32
3513 +         || r_type == R_AVR32_DIFF16
3514 +         || r_type == R_AVR32_DIFF8)
3515 +       continue;
3516 +
3517 +      /* Sanity check */
3518 +      if (r_type > R_AVR32_max)
3519 +       {
3520 +         bfd_set_error(bfd_error_bad_value);
3521 +         return FALSE;
3522 +       }
3523 +
3524 +      howto = &elf_avr32_howto_table[r_type];
3525 +
3526 +      if (r_symndx < symtab_hdr->sh_info)
3527 +       {
3528 +         sym = local_syms + r_symndx;
3529 +         sec = local_sections[r_symndx];
3530 +
3531 +         pr_debug("  (6a) processing %s against local symbol %lu\n",
3532 +                  howto->name, r_symndx);
3533 +
3534 +         /* The following function changes rel->r_addend behind our back. */
3535 +         value = _bfd_elf_rela_local_sym(output_bfd, sym, &sec, rel);
3536 +         pr_debug("    => value: %lx, addend: %lx\n", value, rel->r_addend);
3537 +       }
3538 +      else
3539 +       {
3540 +         if (sym_hashes == NULL)
3541 +           return FALSE;
3542 +
3543 +         h = sym_hashes[r_symndx - symtab_hdr->sh_info];
3544 +         while (h->root.type == bfd_link_hash_indirect
3545 +                || h->root.type == bfd_link_hash_warning)
3546 +           h = (struct elf_link_hash_entry *)h->root.u.i.link;
3547 +
3548 +         pr_debug("  (6a) processing %s against symbol %s\n",
3549 +                  howto->name, h->root.root.string);
3550 +
3551 +         if (h->root.type == bfd_link_hash_defined
3552 +             || h->root.type == bfd_link_hash_defweak)
3553 +           {
3554 +             bfd_boolean dyn;
3555 +
3556 +             dyn = htab->root.dynamic_sections_created;
3557 +             sec = h->root.u.def.section;
3558 +
3559 +             if (sec->output_section)
3560 +               value = (h->root.u.def.value
3561 +                        + sec->output_section->vma
3562 +                        + sec->output_offset);
3563 +             else
3564 +               value = h->root.u.def.value;
3565 +           }
3566 +         else if (h->root.type == bfd_link_hash_undefweak)
3567 +           value = 0;
3568 +         else if (info->unresolved_syms_in_objects == RM_IGNORE
3569 +                  && ELF_ST_VISIBILITY(h->other) == STV_DEFAULT)
3570 +           value = 0;
3571 +         else
3572 +           {
3573 +             bfd_boolean err;
3574 +             err = (info->unresolved_syms_in_objects == RM_GENERATE_ERROR
3575 +                    || ELF_ST_VISIBILITY(h->other) != STV_DEFAULT);
3576 +             if (!info->callbacks->undefined_symbol
3577 +                 (info, h->root.root.string, input_bfd,
3578 +                  input_section, rel->r_offset, err))
3579 +               return FALSE;
3580 +             value = 0;
3581 +           }
3582 +
3583 +         pr_debug("    => value: %lx, addend: %lx\n", value, rel->r_addend);
3584 +       }
3585 +
3586 +      switch (r_type)
3587 +       {
3588 +       case R_AVR32_GOT32:
3589 +       case R_AVR32_GOT16:
3590 +       case R_AVR32_GOT8:
3591 +       case R_AVR32_GOT21S:
3592 +       case R_AVR32_GOT18SW:
3593 +       case R_AVR32_GOT16S:
3594 +       case R_AVR32_GOT7UW:
3595 +       case R_AVR32_LDA_GOT:
3596 +       case R_AVR32_GOTCALL:
3597 +         BFD_ASSERT(sgot != NULL);
3598 +
3599 +         if (h != NULL)
3600 +           {
3601 +             BFD_ASSERT(h->got.glist->refcount > 0);
3602 +             offset = h->got.glist->offset;
3603 +
3604 +             BFD_ASSERT(offset < sgot->size);
3605 +             if (!elf_hash_table(info)->dynamic_sections_created
3606 +                 || (h->def_regular
3607 +                     && (!info->shared
3608 +                         || info->symbolic
3609 +                         || h->dynindx == -1)))
3610 +               {
3611 +                 /* This is actually a static link, or it is a
3612 +                    -Bsymbolic link and the symbol is defined
3613 +                    locally, or the symbol was forced to be local.  */
3614 +                 bfd_put_32(output_bfd, value, sgot->contents + offset);
3615 +               }
3616 +           }
3617 +         else
3618 +           {
3619 +             BFD_ASSERT(local_got_ents &&
3620 +                        local_got_ents[r_symndx]->refcount > 0);
3621 +             offset = local_got_ents[r_symndx]->offset;
3622 +
3623 +             /* Local GOT entries don't have relocs.  If this is a
3624 +                shared library, the dynamic linker will add the load
3625 +                address to the initial value at startup.  */
3626 +             BFD_ASSERT(offset < sgot->size);
3627 +             pr_debug("Initializing GOT entry at offset %lu: 0x%lx\n",
3628 +                      offset, value);
3629 +             bfd_put_32 (output_bfd, value, sgot->contents + offset);
3630 +           }
3631 +
3632 +         value = sgot->output_offset + offset;
3633 +         pr_debug("GOT reference: New value %lx\n", value);
3634 +         break;
3635 +
3636 +       case R_AVR32_GOTPC:
3637 +         /* This relocation type is for constant pool entries used in
3638 +            the calculation "Rd = PC - (PC - GOT)", where the
3639 +            constant pool supplies the constant (PC - GOT)
3640 +            offset. The symbol value + addend indicates where the
3641 +            value of PC is taken. */
3642 +         value -= sgot->output_section->vma;
3643 +         break;
3644 +
3645 +       case R_AVR32_32_PCREL:
3646 +         /* We must adjust r_offset to account for discarded data in
3647 +            the .eh_frame section.  This is probably not the right
3648 +            way to do this, since AFAICS all other architectures do
3649 +            it some other way.  I just can't figure out how...  */
3650 +         {
3651 +           bfd_vma r_offset;
3652 +
3653 +           r_offset = _bfd_elf_section_offset(output_bfd, info,
3654 +                                              input_section,
3655 +                                              rel->r_offset);
3656 +           if (r_offset == (bfd_vma)-1
3657 +               || r_offset == (bfd_vma)-2)
3658 +             continue;
3659 +           rel->r_offset = r_offset;
3660 +         }
3661 +         break;
3662 +
3663 +       case R_AVR32_32:
3664 +         /* We need to emit a run-time relocation in the following cases:
3665 +              - we're creating a shared library
3666 +              - the symbol is not defined in any regular objects
3667 +
3668 +            Of course, sections that aren't going to be part of the
3669 +            run-time image will not get any relocs, and undefined
3670 +            symbols won't have any either (only weak undefined
3671 +            symbols should get this far).  */
3672 +         if ((info->shared
3673 +              || (elf_hash_table(info)->dynamic_sections_created
3674 +                  && h != NULL
3675 +                  && h->def_dynamic
3676 +                  && !h->def_regular))
3677 +             && r_symndx != 0
3678 +             && (input_section->flags & SEC_ALLOC))
3679 +           {
3680 +             Elf_Internal_Rela outrel;
3681 +             bfd_byte *loc;
3682 +             bfd_boolean skip, relocate;
3683 +             struct elf_avr32_link_hash_entry *avrh;
3684 +
3685 +             pr_debug("Going to generate dynamic reloc...\n");
3686 +
3687 +             skip = FALSE;
3688 +             relocate = FALSE;
3689 +
3690 +             outrel.r_offset = _bfd_elf_section_offset(output_bfd, info,
3691 +                                                       input_section,
3692 +                                                       rel->r_offset);
3693 +             if (outrel.r_offset == (bfd_vma)-1)
3694 +               skip = TRUE;
3695 +             else if (outrel.r_offset == (bfd_vma)-2)
3696 +               skip = TRUE, relocate = TRUE;
3697 +
3698 +             outrel.r_offset += (input_section->output_section->vma
3699 +                                 + input_section->output_offset);
3700 +
3701 +             pr_debug("    ... offset %lx, dynindx %ld\n",
3702 +                      outrel.r_offset, h ? h->dynindx : -1);
3703 +
3704 +             if (skip)
3705 +               memset(&outrel, 0, sizeof(outrel));
3706 +             else
3707 +               {
3708 +                 avrh = (struct elf_avr32_link_hash_entry *)h;
3709 +                 /* h->dynindx may be -1 if this symbol was marked to
3710 +                    become local.  */
3711 +                 if (h == NULL
3712 +                     || ((info->symbolic || h->dynindx == -1)
3713 +                         && h->def_regular))
3714 +                   {
3715 +                     relocate = TRUE;
3716 +                     outrel.r_info = ELF32_R_INFO(0, R_AVR32_RELATIVE);
3717 +                     outrel.r_addend = value + rel->r_addend;
3718 +                     pr_debug("    ... R_AVR32_RELATIVE\n");
3719 +                   }
3720 +                 else
3721 +                   {
3722 +                     BFD_ASSERT(h->dynindx != -1);
3723 +                     relocate = TRUE;
3724 +                     outrel.r_info = ELF32_R_INFO(h->dynindx, R_AVR32_GLOB_DAT);
3725 +                     outrel.r_addend = rel->r_addend;
3726 +                     pr_debug("    ... R_AVR32_GLOB_DAT\n");
3727 +                   }
3728 +               }
3729 +
3730 +             pr_debug("srelgot reloc_count: %d, size %lu\n",
3731 +                      srelgot->reloc_count, srelgot->size);
3732 +
3733 +             loc = srelgot->contents;
3734 +             loc += srelgot->reloc_count++ * sizeof(Elf32_External_Rela);
3735 +             bfd_elf32_swap_reloca_out(output_bfd, &outrel, loc);
3736 +
3737 +             BFD_ASSERT(srelgot->reloc_count * sizeof(Elf32_External_Rela)
3738 +                        <= srelgot->size);
3739 +
3740 +             if (!relocate)
3741 +               continue;
3742 +           }
3743 +         break;
3744 +       }
3745 +
3746 +      status = avr32_final_link_relocate(howto, input_bfd, input_section,
3747 +                                        contents, rel, value);
3748 +
3749 +      switch (status)
3750 +       {
3751 +       case bfd_reloc_ok:
3752 +         break;
3753 +
3754 +       case bfd_reloc_overflow:
3755 +         {
3756 +           const char *name;
3757 +
3758 +           if (h != NULL)
3759 +             name = h->root.root.string;
3760 +           else
3761 +             {
3762 +               name = bfd_elf_string_from_elf_section(input_bfd,
3763 +                                                      symtab_hdr->sh_link,
3764 +                                                      sym->st_name);
3765 +               if (name == NULL)
3766 +                 return FALSE;
3767 +               if (*name == '\0')
3768 +                 name = bfd_section_name(input_bfd, sec);
3769 +             }
3770 +           if (!((*info->callbacks->reloc_overflow)
3771 +                 (info, (h ? &h->root : NULL), name, howto->name,
3772 +                  rel->r_addend, input_bfd, input_section, rel->r_offset)))
3773 +             return FALSE;
3774 +         }
3775 +         break;
3776 +
3777 +       case bfd_reloc_outofrange:
3778 +       default:
3779 +         abort();
3780 +       }
3781 +    }
3782 +
3783 +  return TRUE;
3784 +}
3785 +
3786 +
3787 +/* Additional processing of dynamic sections after relocation */
3788 +
3789 +static bfd_boolean
3790 +avr32_elf_finish_dynamic_symbol(bfd *output_bfd, struct bfd_link_info *info,
3791 +                               struct elf_link_hash_entry *h,
3792 +                               Elf_Internal_Sym *sym);
3793 +static bfd_boolean
3794 +avr32_elf_finish_dynamic_sections(bfd *output_bfd, struct bfd_link_info *info);
3795 +
3796 +
3797 +/* (7) Initialize the contents of a dynamic symbol and/or emit
3798 +   relocations for it */
3799 +
3800 +static bfd_boolean
3801 +avr32_elf_finish_dynamic_symbol(bfd *output_bfd, struct bfd_link_info *info,
3802 +                               struct elf_link_hash_entry *h,
3803 +                               Elf_Internal_Sym *sym)
3804 +{
3805 +  struct elf_avr32_link_hash_table *htab;
3806 +  struct got_entry *got;
3807 +
3808 +  pr_debug("(7) finish dynamic symbol: %s\n", h->root.root.string);
3809 +
3810 +  htab = avr32_elf_hash_table(info);
3811 +  got = h->got.glist;
3812 +
3813 +  if (got && got->refcount > 0)
3814 +    {
3815 +      asection *sgot;
3816 +      asection *srelgot;
3817 +      Elf_Internal_Rela rel;
3818 +      bfd_byte *loc;
3819 +
3820 +      /* This symbol has an entry in the GOT. Set it up. */
3821 +      sgot = htab->sgot;
3822 +      srelgot = htab->srelgot;
3823 +      BFD_ASSERT(sgot && srelgot);
3824 +
3825 +      rel.r_offset = (sgot->output_section->vma
3826 +                     + sgot->output_offset
3827 +                     + got->offset);
3828 +
3829 +      /* If this is a static link, or it is a -Bsymbolic link and the
3830 +        symbol is defined locally or was forced to be local because
3831 +        of a version file, we just want to emit a RELATIVE reloc. The
3832 +        entry in the global offset table will already have been
3833 +        initialized in the relocate_section function. */
3834 +      if ((info->shared
3835 +          && !info->symbolic
3836 +          && h->dynindx != -1)
3837 +         || (htab->root.dynamic_sections_created
3838 +             && h->def_dynamic
3839 +             && !h->def_regular))
3840 +       {
3841 +         bfd_put_32(output_bfd, 0, sgot->contents + got->offset);
3842 +         rel.r_info = ELF32_R_INFO(h->dynindx, R_AVR32_GLOB_DAT);
3843 +         rel.r_addend = 0;
3844 +
3845 +         pr_debug("GOT reloc R_AVR32_GLOB_DAT, dynindx: %ld\n", h->dynindx);
3846 +         pr_debug("    srelgot reloc_count: %d, size: %lu\n",
3847 +                  srelgot->reloc_count, srelgot->size);
3848 +
3849 +         loc = (srelgot->contents
3850 +                + srelgot->reloc_count++ * sizeof(Elf32_External_Rela));
3851 +         bfd_elf32_swap_reloca_out(output_bfd, &rel, loc);
3852 +
3853 +         BFD_ASSERT(srelgot->reloc_count * sizeof(Elf32_External_Rela)
3854 +                    <= srelgot->size);
3855 +       }
3856 +    }
3857 +
3858 +  /* Mark _DYNAMIC and _GLOBAL_OFFSET_TABLE_ as absolute */
3859 +  if (strcmp(h->root.root.string, "_DYNAMIC") == 0
3860 +      || strcmp(h->root.root.string, "_GLOBAL_OFFSET_TABLE_") == 0)
3861 +    sym->st_shndx = SHN_ABS;
3862 +
3863 +  return TRUE;
3864 +}
3865 +
3866 +/* (8) Do any remaining initialization of the dynamic sections */
3867 +
3868 +static bfd_boolean
3869 +avr32_elf_finish_dynamic_sections(bfd *output_bfd, struct bfd_link_info *info)
3870 +{
3871 +  struct elf_avr32_link_hash_table *htab;
3872 +  asection *sgot, *sdyn;
3873 +
3874 +  pr_debug("(8) finish dynamic sections\n");
3875 +
3876 +  htab = avr32_elf_hash_table(info);
3877 +  sgot = htab->sgot;
3878 +  sdyn = bfd_get_section_by_name(htab->root.dynobj, ".dynamic");
3879 +
3880 +  if (htab->root.dynamic_sections_created)
3881 +    {
3882 +      Elf32_External_Dyn *dyncon, *dynconend;
3883 +
3884 +      BFD_ASSERT(sdyn && sgot && sgot->size >= AVR32_GOT_HEADER_SIZE);
3885 +
3886 +      dyncon = (Elf32_External_Dyn *)sdyn->contents;
3887 +      dynconend = (Elf32_External_Dyn *)(sdyn->contents + sdyn->size);
3888 +      for (; dyncon < dynconend; dyncon++)
3889 +       {
3890 +         Elf_Internal_Dyn dyn;
3891 +         asection *s;
3892 +
3893 +         bfd_elf32_swap_dyn_in(htab->root.dynobj, dyncon, &dyn);
3894 +
3895 +         switch (dyn.d_tag)
3896 +           {
3897 +           default:
3898 +             break;
3899 +
3900 +           case DT_PLTGOT:
3901 +             s = sgot->output_section;
3902 +             BFD_ASSERT(s != NULL);
3903 +             dyn.d_un.d_ptr = s->vma;
3904 +             bfd_elf32_swap_dyn_out(output_bfd, &dyn, dyncon);
3905 +             break;
3906 +
3907 +           case DT_AVR32_GOTSZ:
3908 +             s = sgot->output_section;
3909 +             BFD_ASSERT(s != NULL);
3910 +             dyn.d_un.d_val = s->size;
3911 +             bfd_elf32_swap_dyn_out(output_bfd, &dyn, dyncon);
3912 +             break;
3913 +           }
3914 +       }
3915 +
3916 +      /* Fill in the first two entries in the global offset table */
3917 +      bfd_put_32(output_bfd,
3918 +                sdyn->output_section->vma + sdyn->output_offset,
3919 +                sgot->contents);
3920 +
3921 +      /* The runtime linker will fill this one in with the address of
3922 +        the run-time link map */
3923 +      bfd_put_32(output_bfd, 0, sgot->contents + 4);
3924 +    }
3925 +
3926 +  if (sgot)
3927 +    elf_section_data(sgot->output_section)->this_hdr.sh_entsize = 4;
3928 +
3929 +  return TRUE;
3930 +}
3931 +
3932 +
3933 +/* AVR32-specific private ELF data */
3934 +
3935 +static bfd_boolean
3936 +avr32_elf_set_private_flags(bfd *abfd, flagword flags);
3937 +static bfd_boolean
3938 +avr32_elf_copy_private_bfd_data(bfd *ibfd, bfd *obfd);
3939 +static bfd_boolean
3940 +avr32_elf_merge_private_bfd_data(bfd *ibfd, bfd *obfd);
3941 +static bfd_boolean
3942 +avr32_elf_print_private_bfd_data(bfd *abfd, void *ptr);
3943 +
3944 +static bfd_boolean
3945 +avr32_elf_set_private_flags(bfd *abfd, flagword flags)
3946 +{
3947 +  elf_elfheader(abfd)->e_flags = flags;
3948 +  elf_flags_init(abfd) = TRUE;
3949 +
3950 +  return TRUE;
3951 +}
3952 +
3953 +/* Copy backend specific data from one object module to another.  */
3954 +
3955 +static bfd_boolean
3956 +avr32_elf_copy_private_bfd_data(bfd *ibfd, bfd *obfd)
3957 +{
3958 +  elf_elfheader(obfd)->e_flags = elf_elfheader(ibfd)->e_flags;
3959 +  return TRUE;
3960 +}
3961 +
3962 +/* Merge backend specific data from an object file to the output
3963 +   object file when linking.  */
3964 +
3965 +static bfd_boolean
3966 +avr32_elf_merge_private_bfd_data(bfd *ibfd, bfd *obfd)
3967 +{
3968 +  flagword out_flags, in_flags;
3969 +
3970 +  pr_debug("(0) merge_private_bfd_data: %s -> %s\n",
3971 +          ibfd->filename, obfd->filename);
3972 +
3973 +  in_flags = elf_elfheader(ibfd)->e_flags;
3974 +  out_flags = elf_elfheader(obfd)->e_flags;
3975 +
3976 +  if (elf_flags_init(obfd))
3977 +    {
3978 +      /* If one of the inputs are non-PIC, the output must be
3979 +        considered non-PIC.  The same applies to linkrelax.  */
3980 +      if (!(in_flags & EF_AVR32_PIC))
3981 +       out_flags &= ~EF_AVR32_PIC;
3982 +      if (!(in_flags & EF_AVR32_LINKRELAX))
3983 +       out_flags &= ~EF_AVR32_LINKRELAX;
3984 +    }
3985 +  else
3986 +    {
3987 +      elf_flags_init(obfd) = TRUE;
3988 +      out_flags = in_flags;
3989 +    }
3990 +
3991 +  elf_elfheader(obfd)->e_flags = out_flags;
3992 +
3993 +  return TRUE;
3994 +}
3995 +
3996 +static bfd_boolean
3997 +avr32_elf_print_private_bfd_data(bfd *abfd, void *ptr)
3998 +{
3999 +  FILE *file = (FILE *)ptr;
4000 +  unsigned long flags;
4001 +
4002 +  BFD_ASSERT(abfd != NULL && ptr != NULL);
4003 +
4004 +  _bfd_elf_print_private_bfd_data(abfd, ptr);
4005 +
4006 +  flags = elf_elfheader(abfd)->e_flags;
4007 +
4008 +  fprintf(file, _("private flags = %lx:"), elf_elfheader(abfd)->e_flags);
4009 +
4010 +  if (flags & EF_AVR32_PIC)
4011 +    fprintf(file, " [PIC]");
4012 +  if (flags & EF_AVR32_LINKRELAX)
4013 +    fprintf(file, " [linker relaxable]");
4014 +
4015 +  flags &= ~(EF_AVR32_PIC | EF_AVR32_LINKRELAX);
4016 +
4017 +  if (flags)
4018 +    fprintf(file, _("<Unrecognized flag bits set>"));
4019 +
4020 +  fputc('\n', file);
4021 +
4022 +  return TRUE;
4023 +}
4024 +
4025 +/* Set avr32-specific linker options.  */
4026 +void bfd_elf32_avr32_set_options(struct bfd_link_info *info,
4027 +                                int direct_data_refs)
4028 +{
4029 +  struct elf_avr32_link_hash_table *htab;
4030 +
4031 +  htab = avr32_elf_hash_table (info);
4032 +  htab->direct_data_refs = !!direct_data_refs;
4033 +}
4034 +
4035 +
4036 +
4037 +/* Understanding core dumps */
4038 +
4039 +static bfd_boolean
4040 +avr32_elf_grok_prstatus(bfd *abfd, Elf_Internal_Note *note);
4041 +static bfd_boolean
4042 +avr32_elf_grok_psinfo(bfd *abfd, Elf_Internal_Note *note);
4043 +
4044 +static bfd_boolean
4045 +avr32_elf_grok_prstatus(bfd *abfd, Elf_Internal_Note *note)
4046 +{
4047 +  /* Linux/AVR32B elf_prstatus */
4048 +  if (note->descsz != 148)
4049 +    return FALSE;
4050 +
4051 +  /* pr_cursig */
4052 +  elf_tdata(abfd)->core_signal = bfd_get_16(abfd, note->descdata + 12);
4053 +
4054 +  /* pr_pid */
4055 +  elf_tdata(abfd)->core_pid = bfd_get_32(abfd, note->descdata + 24);
4056 +
4057 +  /* Make a ".reg/999" section for pr_reg. The size is for 16
4058 +     general-purpose registers, SR and r12_orig (18 * 4 = 72).  */
4059 +  return _bfd_elfcore_make_pseudosection(abfd, ".reg", 72,
4060 +                                        note->descpos + 72);
4061 +}
4062 +
4063 +static bfd_boolean
4064 +avr32_elf_grok_psinfo(bfd *abfd, Elf_Internal_Note *note)
4065 +{
4066 +  /* Linux/AVR32B elf_prpsinfo */
4067 +  if (note->descsz != 128)
4068 +    return FALSE;
4069 +
4070 +  elf_tdata(abfd)->core_program
4071 +    = _bfd_elfcore_strndup(abfd, note->descdata + 32, 16);
4072 +  elf_tdata(abfd)->core_command
4073 +    = _bfd_elfcore_strndup(abfd, note->descdata + 48, 80);
4074 +
4075 +  /* Note that for some reason, a spurious space is tacked
4076 +     onto the end of the args in some (at least one anyway)
4077 +     implementations, so strip it off if it exists.  */
4078 +
4079 +  {
4080 +    char *command = elf_tdata (abfd)->core_command;
4081 +    int n = strlen (command);
4082 +
4083 +    if (0 < n && command[n - 1] == ' ')
4084 +      command[n - 1] = '\0';
4085 +  }
4086 +
4087 +  return TRUE;
4088 +}
4089 +
4090 +
4091 +#define ELF_ARCH                       bfd_arch_avr32
4092 +#define ELF_MACHINE_CODE               EM_AVR32
4093 +#define ELF_MAXPAGESIZE                        1024
4094 +
4095 +#define TARGET_BIG_SYM                 bfd_elf32_avr32_vec
4096 +#define TARGET_BIG_NAME                        "elf32-avr32"
4097 +
4098 +#define elf_backend_grok_prstatus      avr32_elf_grok_prstatus
4099 +#define elf_backend_grok_psinfo                avr32_elf_grok_psinfo
4100 +
4101 +/* Only RELA relocations are used */
4102 +#define elf_backend_may_use_rel_p      0
4103 +#define elf_backend_may_use_rela_p     1
4104 +#define elf_backend_default_use_rela_p 1
4105 +#define elf_backend_rela_normal                1
4106 +#define elf_info_to_howto_rel          NULL
4107 +#define elf_info_to_howto              avr32_info_to_howto
4108 +
4109 +#define bfd_elf32_bfd_copy_private_bfd_data    avr32_elf_copy_private_bfd_data
4110 +#define bfd_elf32_bfd_merge_private_bfd_data   avr32_elf_merge_private_bfd_data
4111 +#define bfd_elf32_bfd_set_private_flags                avr32_elf_set_private_flags
4112 +#define bfd_elf32_bfd_print_private_bfd_data   avr32_elf_print_private_bfd_data
4113 +#define bfd_elf32_new_section_hook             avr32_elf_new_section_hook
4114 +
4115 +#define elf_backend_gc_mark_hook               avr32_elf_gc_mark_hook
4116 +#define elf_backend_gc_sweep_hook              avr32_elf_gc_sweep_hook
4117 +#define elf_backend_relocate_section   avr32_elf_relocate_section
4118 +#define elf_backend_copy_indirect_symbol avr32_elf_copy_indirect_symbol
4119 +#define elf_backend_create_dynamic_sections avr32_elf_create_dynamic_sections
4120 +#define bfd_elf32_bfd_link_hash_table_create avr32_elf_link_hash_table_create
4121 +#define elf_backend_adjust_dynamic_symbol avr32_elf_adjust_dynamic_symbol
4122 +#define elf_backend_size_dynamic_sections avr32_elf_size_dynamic_sections
4123 +#define elf_backend_finish_dynamic_symbol avr32_elf_finish_dynamic_symbol
4124 +#define elf_backend_finish_dynamic_sections avr32_elf_finish_dynamic_sections
4125 +
4126 +#define bfd_elf32_bfd_relax_section    avr32_elf_relax_section
4127 +
4128 +/* Find out which symbols need an entry in .got. */
4129 +#define elf_backend_check_relocs       avr32_check_relocs
4130 +#define elf_backend_can_refcount       1
4131 +#define elf_backend_can_gc_sections    1
4132 +#define elf_backend_plt_readonly       1
4133 +#define elf_backend_plt_not_loaded     1
4134 +#define elf_backend_want_plt_sym       0
4135 +#define elf_backend_plt_alignment      2
4136 +#define elf_backend_want_dynbss                0
4137 +#define elf_backend_want_got_plt       0
4138 +#define elf_backend_want_got_sym       1
4139 +#define elf_backend_got_header_size    AVR32_GOT_HEADER_SIZE
4140 +
4141 +#include "elf32-target.h"
4142 --- /dev/null
4143 +++ b/bfd/elf32-avr32.h
4144 @@ -0,0 +1,23 @@
4145 +/* AVR32-specific support for 32-bit ELF.
4146 +   Copyright 2007,2008,2009 Atmel Corporation.
4147 +
4148 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
4149 +
4150 +   This file is part of BFD, the Binary File Descriptor library.
4151 +
4152 +   This program is free software; you can redistribute it and/or modify
4153 +   it under the terms of the GNU General Public License as published by
4154 +   the Free Software Foundation; either version 2 of the License, or
4155 +   (at your option) any later version.
4156 +
4157 +   This program is distributed in the hope that it will be useful,
4158 +   but WITHOUT ANY WARRANTY; without even the implied warranty of
4159 +   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
4160 +   GNU General Public License for more details.
4161 +
4162 +   You should have received a copy of the GNU General Public License
4163 +   along with this program; if not, write to the Free Software
4164 +   Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. */
4165 +
4166 +void bfd_elf32_avr32_set_options(struct bfd_link_info *info,
4167 +                                int direct_data_refs);
4168 --- b/bfd/elf-bfd.h
4169 +++ b/bfd/elf-bfd.h
4170 @@ -406,6 +406,7 @@
4171    ALPHA_ELF_DATA = 1,
4172    ARM_ELF_DATA,
4173    AVR_ELF_DATA,
4174 +  AVR32_ELF_DATA,
4175    BFIN_ELF_DATA,
4176    CRIS_ELF_DATA,
4177    FRV_ELF_DATA,
4178 @@ -1553,6 +1554,10 @@
4179       find_nearest_line.  */
4180    struct mips_elf_find_line *find_line_info;
4181  
4182 +  /* Used by AVR32 ELF relaxation code.  Contains an array of pointers
4183 +     for each local symbol to the fragment where it is defined.  */
4184 +  struct fragment **local_sym_frag;
4185 +
4186    /* A place to stash dwarf1 info for this bfd.  */
4187    struct dwarf1_debug *dwarf1_find_line_info;
4188  
4189 --- a/bfd/libbfd.h
4190 +++ b/bfd/libbfd.h
4191 @@ -1783,6 +1783,48 @@ static const char *const bfd_reloc_code_
4192    "BFD_RELOC_AVR_LDI",
4193    "BFD_RELOC_AVR_6",
4194    "BFD_RELOC_AVR_6_ADIW",
4195 +  "BFD_RELOC_AVR32_DIFF32",
4196 +  "BFD_RELOC_AVR32_DIFF16",
4197 +  "BFD_RELOC_AVR32_DIFF8",
4198 +  "BFD_RELOC_AVR32_GOT32",
4199 +  "BFD_RELOC_AVR32_GOT16",
4200 +  "BFD_RELOC_AVR32_GOT8",
4201 +  "BFD_RELOC_AVR32_21S",
4202 +  "BFD_RELOC_AVR32_16U",
4203 +  "BFD_RELOC_AVR32_16S",
4204 +  "BFD_RELOC_AVR32_SUB5",
4205 +  "BFD_RELOC_AVR32_8S_EXT",
4206 +  "BFD_RELOC_AVR32_8S",
4207 +  "BFD_RELOC_AVR32_15S",
4208 +  "BFD_RELOC_AVR32_22H_PCREL",
4209 +  "BFD_RELOC_AVR32_18W_PCREL",
4210 +  "BFD_RELOC_AVR32_16B_PCREL",
4211 +  "BFD_RELOC_AVR32_16N_PCREL",
4212 +  "BFD_RELOC_AVR32_14UW_PCREL",
4213 +  "BFD_RELOC_AVR32_11H_PCREL",
4214 +  "BFD_RELOC_AVR32_10UW_PCREL",
4215 +  "BFD_RELOC_AVR32_9H_PCREL",
4216 +  "BFD_RELOC_AVR32_9UW_PCREL",
4217 +  "BFD_RELOC_AVR32_GOTPC",
4218 +  "BFD_RELOC_AVR32_GOTCALL",
4219 +  "BFD_RELOC_AVR32_LDA_GOT",
4220 +  "BFD_RELOC_AVR32_GOT21S",
4221 +  "BFD_RELOC_AVR32_GOT18SW",
4222 +  "BFD_RELOC_AVR32_GOT16S",
4223 +  "BFD_RELOC_AVR32_32_CPENT",
4224 +  "BFD_RELOC_AVR32_CPCALL",
4225 +  "BFD_RELOC_AVR32_16_CP",
4226 +  "BFD_RELOC_AVR32_9W_CP",
4227 +  "BFD_RELOC_AVR32_ALIGN",
4228 +  "BFD_RELOC_AVR32_14UW",
4229 +  "BFD_RELOC_AVR32_10UW",
4230 +  "BFD_RELOC_AVR32_10SW",
4231 +  "BFD_RELOC_AVR32_STHH_W",
4232 +  "BFD_RELOC_AVR32_7UW",
4233 +  "BFD_RELOC_AVR32_6S",
4234 +  "BFD_RELOC_AVR32_6UW",
4235 +  "BFD_RELOC_AVR32_4UH",
4236 +  "BFD_RELOC_AVR32_3U",
4237    "BFD_RELOC_RX_NEG8",
4238    "BFD_RELOC_RX_NEG16",
4239    "BFD_RELOC_RX_NEG24",
4240 --- a/bfd/Makefile.am
4241 +++ b/bfd/Makefile.am
4242 @@ -75,6 +75,7 @@ ALL_MACHINES = \
4243         cpu-arc.lo \
4244         cpu-arm.lo \
4245         cpu-avr.lo \
4246 +       cpu-avr32.lo \
4247         cpu-bfin.lo \
4248         cpu-cr16.lo \
4249         cpu-cr16c.lo \
4250 @@ -272,6 +273,7 @@ BFD32_BACKENDS = \
4251         elf32-arc.lo \
4252         elf32-arm.lo \
4253         elf32-avr.lo \
4254 +       elf32-avr32.lo \
4255         elf32-bfin.lo \
4256         elf32-cr16.lo \
4257         elf32-cr16c.lo \
4258 --- a/bfd/reloc.c
4259 +++ b/bfd/reloc.c
4260 @@ -4275,6 +4275,131 @@ ENUMDOC
4261    Renesas RX Relocations.
4262  
4263  ENUM
4264 +  BFD_RELOC_AVR32_DIFF32
4265 +ENUMX
4266 +  BFD_RELOC_AVR32_DIFF16
4267 +ENUMX
4268 +  BFD_RELOC_AVR32_DIFF8
4269 +ENUMDOC
4270 +  Difference between two labels: L2 - L1. The value of L1 is encoded
4271 +  as sym + addend, while the initial difference after assembly is
4272 +  inserted into the object file by the assembler.
4273 +ENUM
4274 +  BFD_RELOC_AVR32_GOT32
4275 +ENUMX
4276 +  BFD_RELOC_AVR32_GOT16
4277 +ENUMX
4278 +  BFD_RELOC_AVR32_GOT8
4279 +ENUMDOC
4280 +  Reference to a symbol through the Global Offset Table. The linker
4281 +  will allocate an entry for symbol in the GOT and insert the offset
4282 +  of this entry as the relocation value.
4283 +ENUM
4284 +  BFD_RELOC_AVR32_21S
4285 +ENUMX
4286 +  BFD_RELOC_AVR32_16U
4287 +ENUMX
4288 +  BFD_RELOC_AVR32_16S
4289 +ENUMX
4290 +  BFD_RELOC_AVR32_SUB5
4291 +ENUMX
4292 +  BFD_RELOC_AVR32_8S_EXT
4293 +ENUMX
4294 +  BFD_RELOC_AVR32_8S
4295 +ENUMX
4296 +  BFD_RELOC_AVR32_15S
4297 +ENUMDOC
4298 +  Normal (non-pc-relative) code relocations. Alignment and signedness
4299 +  is indicated by the suffixes. S means signed, U means unsigned. W
4300 +  means word-aligned, H means halfword-aligned, neither means
4301 +  byte-aligned (no alignment.) SUB5 is the same relocation as 16S.
4302 +ENUM
4303 +  BFD_RELOC_AVR32_22H_PCREL
4304 +ENUMX
4305 +  BFD_RELOC_AVR32_18W_PCREL
4306 +ENUMX
4307 +  BFD_RELOC_AVR32_16B_PCREL
4308 +ENUMX
4309 +  BFD_RELOC_AVR32_16N_PCREL
4310 +ENUMX
4311 +  BFD_RELOC_AVR32_14UW_PCREL
4312 +ENUMX
4313 +  BFD_RELOC_AVR32_11H_PCREL
4314 +ENUMX
4315 +  BFD_RELOC_AVR32_10UW_PCREL
4316 +ENUMX
4317 +  BFD_RELOC_AVR32_9H_PCREL
4318 +ENUMX
4319 +  BFD_RELOC_AVR32_9UW_PCREL
4320 +ENUMDOC
4321 +  PC-relative relocations are signed if neither 'U' nor 'S' is
4322 +  specified. However, we explicitly tack on a 'B' to indicate no
4323 +  alignment, to avoid confusion with data relocs. All of these resolve
4324 +  to sym + addend - offset, except the one with 'N' (negated) suffix.
4325 +  This particular one resolves to offset - sym - addend.
4326 +ENUM
4327 +  BFD_RELOC_AVR32_GOTPC
4328 +ENUMDOC
4329 +  Subtract the link-time address of the GOT from (symbol + addend)
4330 +  and insert the result.
4331 +ENUM
4332 +  BFD_RELOC_AVR32_GOTCALL
4333 +ENUMX
4334 +  BFD_RELOC_AVR32_LDA_GOT
4335 +ENUMX
4336 +  BFD_RELOC_AVR32_GOT21S
4337 +ENUMX
4338 +  BFD_RELOC_AVR32_GOT18SW
4339 +ENUMX
4340 +  BFD_RELOC_AVR32_GOT16S
4341 +ENUMDOC
4342 +  Reference to a symbol through the GOT. The linker will allocate an
4343 +  entry for symbol in the GOT and insert the offset of this entry as
4344 +  the relocation value. addend must be zero. As usual, 'S' means
4345 +  signed, 'W' means word-aligned, etc.
4346 +ENUM
4347 +  BFD_RELOC_AVR32_32_CPENT
4348 +ENUMDOC
4349 +  32-bit constant pool entry. I don't think 8- and 16-bit entries make
4350 +  a whole lot of sense.
4351 +ENUM
4352 +  BFD_RELOC_AVR32_CPCALL
4353 +ENUMX
4354 +  BFD_RELOC_AVR32_16_CP
4355 +ENUMX
4356 +  BFD_RELOC_AVR32_9W_CP
4357 +ENUMDOC
4358 +  Constant pool references. Some of these relocations are signed,
4359 +  others are unsigned. It doesn't really matter, since the constant
4360 +  pool always comes after the code that references it.
4361 +ENUM
4362 +  BFD_RELOC_AVR32_ALIGN
4363 +ENUMDOC
4364 +  sym must be the absolute symbol. The addend specifies the alignment
4365 +  order, e.g. if addend is 2, the linker must add padding so that the
4366 +  next address is aligned to a 4-byte boundary.
4367 +ENUM
4368 +  BFD_RELOC_AVR32_14UW
4369 +ENUMX
4370 +  BFD_RELOC_AVR32_10UW
4371 +ENUMX
4372 +  BFD_RELOC_AVR32_10SW
4373 +ENUMX
4374 +  BFD_RELOC_AVR32_STHH_W
4375 +ENUMX
4376 +  BFD_RELOC_AVR32_7UW
4377 +ENUMX
4378 +  BFD_RELOC_AVR32_6S
4379 +ENUMX
4380 +  BFD_RELOC_AVR32_6UW
4381 +ENUMX
4382 +  BFD_RELOC_AVR32_4UH
4383 +ENUMX
4384 +  BFD_RELOC_AVR32_3U
4385 +ENUMDOC
4386 +  Code relocations that will never make it to the output file.
4387 +
4388 +ENUM
4389    BFD_RELOC_390_12
4390  ENUMDOC
4391     Direct 12 bit.
4392 --- a/bfd/targets.c
4393 +++ b/bfd/targets.c
4394 @@ -579,6 +579,7 @@ extern const bfd_target b_out_vec_big_ho
4395  extern const bfd_target b_out_vec_little_host;
4396  extern const bfd_target bfd_pei_ia64_vec;
4397  extern const bfd_target bfd_elf32_avr_vec;
4398 +extern const bfd_target bfd_elf32_avr32_vec;
4399  extern const bfd_target bfd_elf32_bfin_vec;
4400  extern const bfd_target bfd_elf32_bfinfdpic_vec;
4401  extern const bfd_target bfd_elf32_big_generic_vec;
4402 @@ -917,6 +918,7 @@ static const bfd_target * const _bfd_tar
4403         &bfd_pei_ia64_vec,
4404  #endif
4405         &bfd_elf32_avr_vec,
4406 +       &bfd_elf32_avr32_vec,
4407         &bfd_elf32_bfin_vec,
4408         &bfd_elf32_bfinfdpic_vec,
4409  
4410 --- a/binutils/doc/binutils.info
4411 +++ b/binutils/doc/binutils.info
4412 @@ -1705,6 +1705,10 @@ equivalent.  At least one option from th
4413       useful when attempting to disassemble thumb code produced by other
4414       compilers.
4415  
4416 +     For the AVR32 architectures that support Floating point unit (FPU),
4417 +     specifying '-M decode-fpu' will enable disassembler to print the 
4418 +     floating point instruction instead of 'cop' instructions.
4419 +
4420       For the x86, some of the options duplicate functions of the `-m'
4421       switch, but allow finer grained control.  Multiple selections from
4422       the following may be specified as a comma separated string.
4423 --- a/binutils/doc/binutils.texi
4424 +++ b/binutils/doc/binutils.texi
4425 @@ -1980,6 +1980,10 @@ using the switch @option{--disassembler-
4426  useful when attempting to disassemble thumb code produced by other
4427  compilers.
4428  
4429 +For the AVR32 architectures that support Floating point unit (FPU), 
4430 +specifying @option{-M decode-fpu} will enable disassembler to print the
4431 +floating point instructions instead of 'cop' instructions. 
4432 +
4433  For the x86, some of the options duplicate functions of the @option{-m}
4434  switch, but allow finer grained control.  Multiple selections from the
4435  following may be specified as a comma separated string.
4436 --- a/binutils/doc/objdump.1
4437 +++ b/binutils/doc/objdump.1
4438 @@ -425,6 +425,10 @@ using the switch \fB\-\-disassembler\-op
4439  useful when attempting to disassemble thumb code produced by other
4440  compilers.
4441  .Sp
4442 +For the \s-1AVR32\s0 architectures that support Floating point unit (FPU),
4443 +specifying \fB\-M decode\-fpu\fR will enable disassembler to print the
4444 +floating point instructions instead of 'cop' instructions.
4445 +.Sp
4446  For the x86, some of the options duplicate functions of the \fB\-m\fR
4447  switch, but allow finer grained control.  Multiple selections from the
4448  following may be specified as a comma separated string.
4449 --- a/binutils/readelf.c
4450 +++ b/binutils/readelf.c
4451 @@ -95,6 +95,7 @@
4452  #include "elf/arc.h"
4453  #include "elf/arm.h"
4454  #include "elf/avr.h"
4455 +#include "elf/avr32.h"
4456  #include "elf/bfin.h"
4457  #include "elf/cr16.h"
4458  #include "elf/cris.h"
4459 @@ -619,6 +620,7 @@ guess_is_rela (unsigned int e_machine)
4460      case EM_ALPHA:
4461      case EM_ALTERA_NIOS2:
4462      case EM_AVR:
4463 +    case EM_AVR32:
4464      case EM_AVR_OLD:
4465      case EM_BLACKFIN:
4466      case EM_CR16:
4467 @@ -1072,6 +1074,10 @@ dump_relocations (FILE * file,
4468           rtype = elf_avr_reloc_type (type);
4469           break;
4470  
4471 +       case EM_AVR32:
4472 +         rtype = elf_avr32_reloc_type (type);
4473 +         break;
4474 +
4475         case EM_OLD_SPARCV9:
4476         case EM_SPARC32PLUS:
4477         case EM_SPARCV9:
4478 --- a/gas/as.c
4479 +++ b/gas/as.c
4480 @@ -459,10 +459,10 @@ parse_args (int * pargc, char *** pargv)
4481         the end of the preceeding line so that it is simpler to
4482         selectively add and remove lines from this list.  */
4483      {"alternate", no_argument, NULL, OPTION_ALTERNATE}
4484 -    /* The entry for "a" is here to prevent getopt_long_only() from
4485 -       considering that -a is an abbreviation for --alternate.  This is
4486 -       necessary because -a=<FILE> is a valid switch but getopt would
4487 -       normally reject it since --alternate does not take an argument.  */
4488 +    /* The next two entries are here to prevent getopt_long_only() from
4489 +       considering that -a or -al is an abbreviation for --alternate.
4490 +       This is necessary because -a=<FILE> is a valid switch but getopt
4491 +       would normally reject it since --alternate does not take an argument.  */
4492      ,{"a", optional_argument, NULL, 'a'}
4493      /* Handle -al=<FILE>.  */
4494      ,{"al", optional_argument, NULL, OPTION_AL}
4495 @@ -839,8 +839,15 @@ This program has absolutely no warranty.
4496         case 'a':
4497           if (optarg)
4498             {
4499 -             if (optarg != old_argv[optind] && optarg[-1] == '=')
4500 +             /* If optarg is part of the -a switch and not a separate argument
4501 +                in its own right, then scan backwards to the just after the -a.
4502 +                This means skipping over both '=' and 'l' which might have been
4503 +                taken to be part of the -a switch itself.  */
4504 +             if (optarg != old_argv[optind])
4505 +               {
4506 +                 while (optarg[-1] == '=' || optarg[-1] == 'l')
4507                 --optarg;
4508 +               }
4509  
4510               if (md_parse_option (optc, optarg) != 0)
4511                 break;
4512 --- a/gas/as.h
4513 +++ b/gas/as.h
4514 @@ -82,6 +82,7 @@
4515  #endif
4516  #define gas_assert(P) \
4517    ((void) ((P) ? 0 : (as_assert (__FILE__, __LINE__, __PRETTY_FUNCTION__), 0)))
4518 +#define assert(P)   gas_assert(P)
4519  #undef abort
4520  #define abort()                as_abort (__FILE__, __LINE__, __PRETTY_FUNCTION__)
4521  
4522 --- a/gas/atof-generic.c
4523 +++ b/gas/atof-generic.c
4524 @@ -121,6 +121,21 @@ atof_generic (/* return pointer to just
4525  
4526    switch (first_digit[0])
4527      {
4528 +    case 's':
4529 +    case 'S':
4530 +    case 'q':
4531 +    case 'Q':
4532 +      if (!strncasecmp ("nan", first_digit+1, 3))
4533 +       {
4534 +         address_of_generic_floating_point_number->sign = 0;
4535 +         address_of_generic_floating_point_number->exponent = 0;
4536 +         address_of_generic_floating_point_number->leader =
4537 +           address_of_generic_floating_point_number->low;
4538 +         *address_of_string_pointer = first_digit + 4;
4539 +         return 0;
4540 +       }
4541 +      break;
4542 +
4543      case 'n':
4544      case 'N':
4545        if (!strncasecmp ("nan", first_digit, 3))
4546 --- a/gas/config/atof-vax.c
4547 +++ b/gas/config/atof-vax.c
4548 @@ -268,9 +268,27 @@ flonum_gen2vax (int format_letter, /* On
4549           int exponent_skippage;
4550           LITTLENUM_TYPE word1;
4551  
4552 -         /* JF: Deal with new Nan, +Inf and -Inf codes.  */
4553 +         /* JF: Deal with new +/-(q/Q/s/S)Nan, +Inf and -Inf codes.  */
4554           if (f->sign != '-' && f->sign != '+')
4555             {
4556 +          if (f->sign == 0)
4557 +            {
4558 +              /* All NaNs are 0.  */
4559 +              memset (words, 0x00, sizeof (LITTLENUM_TYPE) * precision);
4560 +            }
4561 +          else if (f->sign == 'P')
4562 +            {
4563 +              /* Positive Infinity.  */
4564 +              memset (words, 0xff, sizeof (LITTLENUM_TYPE) * precision);
4565 +              words[0] &= 0x7fff;
4566 +            }
4567 +          else if (f->sign == 'N')
4568 +            {
4569 +              /* Negative Infinity.  */
4570 +              memset (words, 0x00, sizeof (LITTLENUM_TYPE) * precision);
4571 +              words[0] = 0x0080;
4572 +            }
4573 +          else
4574               make_invalid_floating_point_number (words);
4575               return return_value;
4576             }
4577 --- /dev/null
4578 +++ b/gas/config/tc-avr32.c
4579 @@ -0,0 +1,4839 @@
4580 +/* Assembler implementation for AVR32.
4581 +   Copyright 2003,2004,2005,2006,2007,2008,2009,2010 Atmel Corporation.
4582 +
4583 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
4584 +
4585 +   This file is part of GAS, the GNU Assembler.
4586 +
4587 +   GAS is free software; you can redistribute it and/or modify it
4588 +   under the terms of the GNU General Public License as published by
4589 +   the Free Software Foundation; either version 2, or (at your option)
4590 +   any later version.
4591 +
4592 +   GAS is distributed in the hope that it will be useful, but WITHOUT
4593 +   ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
4594 +   or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public
4595 +   License for more details.
4596 +
4597 +   You should have received a copy of the GNU General Public License
4598 +   along with GAS; see the file COPYING.  If not, write to the Free
4599 +   Software Foundation, 59 Temple Place - Suite 330, Boston, MA
4600 +   02111-1307, USA.  */
4601 +
4602 +#include <stdio.h>
4603 +#include "as.h"
4604 +#include "safe-ctype.h"
4605 +#include "subsegs.h"
4606 +#include "symcat.h"
4607 +#include "opcodes/avr32-opc.h"
4608 +#include "opcodes/avr32-asm.h"
4609 +#include "elf/avr32.h"
4610 +#include "dwarf2dbg.h"
4611 +
4612 +#define xDEBUG
4613 +#define xOPC_CONSISTENCY_CHECK
4614 +
4615 +#ifdef DEBUG
4616 +# define pr_debug(fmt, args...) fprintf(stderr, fmt, ##args)
4617 +#else
4618 +# define pr_debug(fmt, args...)
4619 +#endif
4620 +
4621 +/* 3 MSB of instruction word indicate group. Group 7 -> extended */
4622 +#define AVR32_COMPACT_P(opcode) ((opcode[0] & 0xe0) != 0xe0)
4623 +
4624 +#define streq(a, b)            (strcmp(a, b) == 0)
4625 +#define skip_whitespace(str)   do { while(*(str) == ' ') ++(str); } while(0)
4626 +
4627 +/* Flags given on the command line */
4628 +static int avr32_pic   = FALSE;
4629 +int linkrelax  = FALSE;
4630 +int avr32_iarcompat    = FALSE;
4631 +
4632 +/* This array holds the chars that always start a comment. */
4633 +const char comment_chars[]             = "#";
4634 +
4635 +/* This array holds the chars that only start a comment at the
4636 +   beginning of a line.  We must include '#' here because the compiler
4637 +   may produce #APP and #NO_APP in its output.  */
4638 +const char line_comment_chars[]                = "#";
4639 +
4640 +/* These may be used instead of newline (same as ';' in C).  */
4641 +const char line_separator_chars[]      = ";";
4642 +
4643 +/* Chars that can be used to separate mantissa from exponent in
4644 +   floating point numbers.  */
4645 +const char EXP_CHARS[]                 = "eE";
4646 +
4647 +/* Chars that mean this number is a floating point constant.  */
4648 +const char FLT_CHARS[]                 = "dD";
4649 +
4650 +/* Pre-defined "_GLOBAL_OFFSET_TABLE_"  */
4651 +symbolS *GOT_symbol;
4652 +
4653 +static struct hash_control *avr32_mnemonic_htab;
4654 +
4655 +struct avr32_ifield_data
4656 +{
4657 +  bfd_vma value;
4658 +  /* FIXME: Get rid of align_order and complain. complain is never
4659 +     used, align_order is used in one place.  Try to use the relax
4660 +     table instead.  */
4661 +  unsigned int align_order;
4662 +};
4663 +
4664 +struct avr32_insn
4665 +{
4666 +  const struct avr32_syntax *syntax;
4667 +  expressionS immediate;
4668 +  int pcrel;
4669 +  int force_extended;
4670 +  unsigned int next_slot;
4671 +  bfd_reloc_code_real_type r_type;
4672 +  struct avr32_ifield_data field_value[AVR32_MAX_FIELDS];
4673 +};
4674 +
4675 +static struct avr32_insn current_insn;
4676 +
4677 +/* The target specific pseudo-ops we support. */
4678 +static void s_rseg (int);
4679 +static void s_cpool(int);
4680 +
4681 +const pseudo_typeS md_pseudo_table[] =
4682 +{
4683 +  /* Make sure that .word is 32 bits */
4684 +  { "word", cons, 4 },
4685 +  { "file", (void (*) PARAMS ((int))) dwarf2_directive_file, 0 },
4686 +  { "loc", dwarf2_directive_loc, 0 },
4687 +
4688 +  /* .lcomm requires an explicit alignment parameter */
4689 +  { "lcomm", s_lcomm, 1 },
4690 +
4691 +  /* AVR32-specific pseudo-ops */
4692 +  { "cpool", s_cpool, 0},
4693 +
4694 +  /* IAR compatible pseudo-ops */
4695 +  { "program", s_ignore, 0 },
4696 +  { "public", s_globl, 0 },
4697 +  { "extern", s_ignore, 0 },
4698 +  { "module", s_ignore, 0 },
4699 +  { "rseg", s_rseg, 0 },
4700 +  { "dc8", cons, 1 },
4701 +  { "dc16", cons, 2 },
4702 +  { "dc32", cons, 4 },
4703 +
4704 +  { NULL, NULL, 0 }
4705 +};
4706 +
4707 +/* Questionable stuff starts here */
4708 +
4709 +enum avr32_opinfo {
4710 +  AVR32_OPINFO_NONE = BFD_RELOC_NONE,
4711 +  AVR32_OPINFO_GOT,
4712 +  AVR32_OPINFO_TLSGD,
4713 +  AVR32_OPINFO_HI,
4714 +  AVR32_OPINFO_LO,
4715 +};
4716 +
4717 +enum avr32_arch {
4718 +  ARCH_TYPE_AP,
4719 +  ARCH_TYPE_UCR1,
4720 +  ARCH_TYPE_UCR2,
4721 +  ARCH_TYPE_UCR3,
4722 +  ARCH_TYPE_UCR3FP
4723 +};
4724 +
4725 +struct arch_type_s
4726 +{
4727 +  /* Architecture name */
4728 +  char *name;
4729 +  /* Instruction Set Architecture Flags */
4730 +  unsigned long isa_flags;
4731 +};
4732 +
4733 +struct part_type_s
4734 +{
4735 +  /* Part name */
4736 +  char *name;
4737 +  /* Architecture type */
4738 +  unsigned int arch;
4739 +};
4740 +
4741 +static struct arch_type_s arch_types[] =
4742 +{
4743 +    {"ap", AVR32_V1 | AVR32_SIMD | AVR32_DSP | AVR32_PICO},
4744 +    {"ucr1", AVR32_V1 | AVR32_DSP | AVR32_RMW},
4745 +    {"ucr2", AVR32_V1 | AVR32_V2 | AVR32_DSP | AVR32_RMW},
4746 +    {"ucr3", AVR32_V1 | AVR32_V2 | AVR32_V3 | AVR32_DSP | AVR32_RMW},
4747 +    {"ucr3fp", AVR32_V1 | AVR32_V2 | AVR32_V3 | AVR32_DSP | AVR32_RMW | AVR32_V3FP},
4748 +    {"all-insn", AVR32_V1 | AVR32_V2 | AVR32_V3 | AVR32_SIMD | AVR32_DSP | AVR32_RMW | AVR32_V3FP | AVR32_PICO},
4749 +    {NULL, 0}
4750 +};
4751 +
4752 +static struct part_type_s part_types[] =
4753 +{
4754 +    {"ap7000",        ARCH_TYPE_AP},
4755 +    {"ap7001",        ARCH_TYPE_AP},
4756 +    {"ap7002",        ARCH_TYPE_AP},
4757 +    {"ap7200",        ARCH_TYPE_AP},
4758 +    {"uc3a0128",      ARCH_TYPE_UCR2},
4759 +    {"uc3a0256",      ARCH_TYPE_UCR2},
4760 +    {"uc3a0512es",    ARCH_TYPE_UCR1},
4761 +    {"uc3a0512",      ARCH_TYPE_UCR2},
4762 +    {"uc3a1128",      ARCH_TYPE_UCR2},
4763 +    {"uc3a1256es",    ARCH_TYPE_UCR1},
4764 +    {"uc3a1256",      ARCH_TYPE_UCR2},
4765 +    {"uc3a1512es",    ARCH_TYPE_UCR1},
4766 +    {"uc3a1512",      ARCH_TYPE_UCR2},
4767 +    {"uc3a364",       ARCH_TYPE_UCR2},
4768 +    {"uc3a364s",      ARCH_TYPE_UCR2},
4769 +    {"uc3a3128",      ARCH_TYPE_UCR2},
4770 +    {"uc3a3128s",     ARCH_TYPE_UCR2},
4771 +    {"uc3a3256",      ARCH_TYPE_UCR2},
4772 +    {"uc3a3256s",     ARCH_TYPE_UCR2},
4773 +    {"uc3b064",       ARCH_TYPE_UCR1},
4774 +    {"uc3b0128",      ARCH_TYPE_UCR1},
4775 +    {"uc3b0256es",    ARCH_TYPE_UCR1},
4776 +    {"uc3b0256",      ARCH_TYPE_UCR1},
4777 +    {"uc3b0512",      ARCH_TYPE_UCR2},
4778 +    {"uc3b0512revc",  ARCH_TYPE_UCR2},
4779 +    {"uc3b164",       ARCH_TYPE_UCR1},
4780 +    {"uc3b1128",      ARCH_TYPE_UCR1},
4781 +    {"uc3b1256",      ARCH_TYPE_UCR1},
4782 +    {"uc3b1256es",    ARCH_TYPE_UCR1},
4783 +    {"uc3b1512",      ARCH_TYPE_UCR2},
4784 +    {"uc3b1512revc",  ARCH_TYPE_UCR2},
4785 +    {"uc3c0512crevc", ARCH_TYPE_UCR3},
4786 +    {"uc3c1512crevc", ARCH_TYPE_UCR3},
4787 +    {"uc3c2512crevc", ARCH_TYPE_UCR3},
4788 +    {"atuc3l0256",    ARCH_TYPE_UCR3},
4789 +    {"mxt768e",       ARCH_TYPE_UCR3},
4790 +    {"uc3l064",       ARCH_TYPE_UCR3},
4791 +    {"uc3l032",       ARCH_TYPE_UCR3},
4792 +    {"uc3l016",       ARCH_TYPE_UCR3},
4793 +    {"uc3l064revb",   ARCH_TYPE_UCR3},
4794 +    {"uc3c064c",  ARCH_TYPE_UCR3FP},
4795 +    {"uc3c0128c",   ARCH_TYPE_UCR3FP},
4796 +    {"uc3c0256c",   ARCH_TYPE_UCR3FP},
4797 +    {"uc3c0512c",   ARCH_TYPE_UCR3FP},
4798 +    {"uc3c164c",  ARCH_TYPE_UCR3FP},
4799 +    {"uc3c1128c",   ARCH_TYPE_UCR3FP},
4800 +    {"uc3c1256c",   ARCH_TYPE_UCR3FP},
4801 +    {"uc3c1512c",   ARCH_TYPE_UCR3FP},
4802 +    {"uc3c264c",  ARCH_TYPE_UCR3FP},
4803 +    {"uc3c2128c",   ARCH_TYPE_UCR3FP},
4804 +    {"uc3c2256c",   ARCH_TYPE_UCR3FP},
4805 +    {"uc3c2512c",   ARCH_TYPE_UCR3FP},
4806 +    {NULL, 0}
4807 +};
4808 +
4809 +/* Current architecture type.  */
4810 +static struct arch_type_s default_arch = {"all-insn", AVR32_V1 | AVR32_V2 | AVR32_V3 | AVR32_SIMD | AVR32_DSP | AVR32_RMW | AVR32_V3FP | AVR32_PICO };
4811 +static struct arch_type_s *avr32_arch = &default_arch;
4812 +
4813 +/* Display nicely formatted list of known part- and architecture names.  */
4814 +
4815 +static void
4816 +show_arch_list (FILE *stream)
4817 +{
4818 +  int i, x;
4819 +
4820 +  fprintf (stream, _("Known architecture names:"));
4821 +  x = 1000;
4822 +
4823 +  for (i = 0; arch_types[i].name; i++)
4824 +    {
4825 +      int len = strlen (arch_types[i].name);
4826 +
4827 +      x += len + 1;
4828 +
4829 +      if (x < 75)
4830 +       fprintf (stream, " %s", arch_types[i].name);
4831 +      else
4832 +       {
4833 +         fprintf (stream, "\n  %s", arch_types[i].name);
4834 +         x = len + 2;
4835 +       }
4836 +    }
4837 +
4838 +  fprintf (stream, "\n");
4839 +}
4840 +
4841 +static void
4842 +show_part_list (FILE *stream)
4843 +{
4844 +  int i, x;
4845 +
4846 +  fprintf (stream, _("Known part names:"));
4847 +  x = 1000;
4848 +
4849 +  for (i = 0; part_types[i].name; i++)
4850 +    {
4851 +      int len = strlen(part_types[i].name);
4852 +
4853 +      x += len + 1;
4854 +
4855 +      if (x < 75)
4856 +       fprintf (stream, " %s", part_types[i].name);
4857 +      else
4858 +       {
4859 +         fprintf(stream, "\n  %s", part_types[i].name);
4860 +         x = len + 2;
4861 +       }
4862 +    }
4863 +
4864 +  fprintf (stream, "\n");
4865 +}
4866 +
4867 +const char *md_shortopts = "";
4868 +struct option md_longopts[] =
4869 +{
4870 +#define OPTION_ARCH            (OPTION_MD_BASE)
4871 +#define OPTION_PART            (OPTION_ARCH + 1)
4872 +#define OPTION_IAR             (OPTION_PART + 1)
4873 +#define OPTION_PIC             (OPTION_IAR + 1)
4874 +#define OPTION_NOPIC           (OPTION_PIC + 1)
4875 +#define OPTION_LINKRELAX       (OPTION_NOPIC + 1)
4876 +#define OPTION_NOLINKRELAX     (OPTION_LINKRELAX + 1)
4877 +#define OPTION_DIRECT_DATA_REFS (OPTION_NOLINKRELAX + 1)
4878 +  {"march",            required_argument, NULL, OPTION_ARCH},
4879 +  {"mpart",            required_argument, NULL, OPTION_PART},
4880 +  {"iar",              no_argument, NULL, OPTION_IAR},
4881 +  {"pic",              no_argument, NULL, OPTION_PIC},
4882 +  {"no-pic",           no_argument, NULL, OPTION_NOPIC},
4883 +  {"linkrelax",                no_argument, NULL, OPTION_LINKRELAX},
4884 +  {"no-linkrelax",     no_argument, NULL, OPTION_NOLINKRELAX},
4885 +  /* deprecated alias for -mpart=xxx */
4886 +  {"mcpu",             required_argument, NULL, OPTION_PART},
4887 +  {NULL,               no_argument, NULL, 0}
4888 +};
4889 +
4890 +size_t md_longopts_size = sizeof (md_longopts);
4891 +
4892 +void
4893 +md_show_usage (FILE *stream)
4894 +{
4895 +  fprintf (stream, _("\
4896 +AVR32 options:\n\
4897 +  -march=[arch-name]      Select cpu architecture. [Default `all-insn']\n\
4898 +  -mpart=[part-name]      Select specific part. [Default `none']\n\
4899 +  --pic                   Produce Position-Independent Code\n\
4900 +  --no-pic                Don't produce Position-Independent Code\n\
4901 +  --linkrelax             Produce output suitable for linker relaxing\n\
4902 +  --no-linkrelax          Don't produce output suitable for linker relaxing\n"));
4903 +  show_arch_list(stream);
4904 +}
4905 +
4906 +int
4907 +md_parse_option (int c, char *arg ATTRIBUTE_UNUSED)
4908 +{
4909 +  switch (c)
4910 +    {
4911 +    case OPTION_ARCH:
4912 +      {
4913 +       int i;
4914 +       char *s = alloca (strlen (arg) + 1);
4915 +
4916 +       {
4917 +         char *t = s;
4918 +         char *arg1 = arg;
4919 +
4920 +         do
4921 +           *t = TOLOWER (*arg1++);
4922 +         while (*t++);
4923 +       }
4924 +
4925 +        /* Add backward compability */
4926 +        if (strcmp ("uc", s)== 0)
4927 +          {
4928 +            as_warn("Deprecated arch `%s' specified. "
4929 +                    "Please use '-march=ucr1' instead. "
4930 +                    "Converting to arch 'ucr1'\n",
4931 +                     s);
4932 +            s="ucr1";
4933 +          }
4934 +
4935 +       for (i = 0; arch_types[i].name; ++i)
4936 +         if (strcmp (arch_types[i].name, s) == 0)
4937 +           break;
4938 +
4939 +       if (!arch_types[i].name)
4940 +         {
4941 +           show_arch_list (stderr);
4942 +           as_fatal (_("unknown architecture: %s\n"), arg);
4943 +         }
4944 +
4945 +        avr32_arch = &arch_types[i];
4946 +       break;
4947 +      }
4948 +    case OPTION_PART:
4949 +      {
4950 +       int i;
4951 +       char *s = alloca (strlen (arg) + 1);
4952 +       char *t = s;
4953 +       char *p = arg;
4954 +
4955 +       /* If arch type has already been set, don't bother.
4956 +          -march= always overrides -mpart=  */
4957 +       if (avr32_arch != &default_arch)
4958 +         break;
4959 +
4960 +       do
4961 +         *t = TOLOWER (*p++);
4962 +       while (*t++);
4963 +
4964 +       for (i = 0; part_types[i].name; ++i)
4965 +         if (strcmp (part_types[i].name, s) == 0)
4966 +           break;
4967 +
4968 +       if (!part_types[i].name)
4969 +         {
4970 +           show_part_list (stderr);
4971 +           as_fatal (_("unknown part: %s\n"), arg);
4972 +         }
4973 +
4974 +       avr32_arch = &arch_types[part_types[i].arch];
4975 +       break;
4976 +      }
4977 +    case OPTION_IAR:
4978 +      avr32_iarcompat = 1;
4979 +      break;
4980 +    case OPTION_PIC:
4981 +      avr32_pic = 1;
4982 +      break;
4983 +    case OPTION_NOPIC:
4984 +      avr32_pic = 0;
4985 +      break;
4986 +    case OPTION_LINKRELAX:
4987 +      linkrelax = 1;
4988 +      break;
4989 +    case OPTION_NOLINKRELAX:
4990 +      linkrelax = 0;
4991 +      break;
4992 +    default:
4993 +      return 0;
4994 +    }
4995 +  return 1;
4996 +}
4997 +
4998 +/* Can't use symbol_new here, so have to create a symbol and then at
4999 +   a later date assign it a value. Thats what these functions do.
5000 +
5001 +   Shamelessly stolen from ARM.  */
5002 +
5003 +static void
5004 +symbol_locate (symbolS *    symbolP,
5005 +              const char * name,       /* It is copied, the caller can modify.  */
5006 +              segT         segment,    /* Segment identifier (SEG_<something>).  */
5007 +              valueT       valu,       /* Symbol value.  */
5008 +              fragS *      frag)       /* Associated fragment.  */
5009 +{
5010 +  unsigned int name_length;
5011 +  char * preserved_copy_of_name;
5012 +
5013 +  name_length = strlen (name) + 1;   /* +1 for \0.  */
5014 +  obstack_grow (&notes, name, name_length);
5015 +  preserved_copy_of_name = obstack_finish (&notes);
5016 +#ifdef STRIP_UNDERSCORE
5017 +  if (preserved_copy_of_name[0] == '_')
5018 +    preserved_copy_of_name++;
5019 +#endif
5020 +
5021 +#ifdef tc_canonicalize_symbol_name
5022 +  preserved_copy_of_name =
5023 +    tc_canonicalize_symbol_name (preserved_copy_of_name);
5024 +#endif
5025 +
5026 +  S_SET_NAME (symbolP, preserved_copy_of_name);
5027 +
5028 +  S_SET_SEGMENT (symbolP, segment);
5029 +  S_SET_VALUE (symbolP, valu);
5030 +  symbol_clear_list_pointers (symbolP);
5031 +
5032 +  symbol_set_frag (symbolP, frag);
5033 +
5034 +  /* Link to end of symbol chain.  */
5035 +  {
5036 +    extern int symbol_table_frozen;
5037 +
5038 +    if (symbol_table_frozen)
5039 +      abort ();
5040 +  }
5041 +
5042 +  symbol_append (symbolP, symbol_lastP, & symbol_rootP, & symbol_lastP);
5043 +
5044 +  obj_symbol_new_hook (symbolP);
5045 +
5046 +#ifdef tc_symbol_new_hook
5047 +  tc_symbol_new_hook (symbolP);
5048 +#endif
5049 +
5050 +#ifdef DEBUG_SYMS
5051 +  verify_symbol_chain (symbol_rootP, symbol_lastP);
5052 +#endif /* DEBUG_SYMS  */
5053 +}
5054 +
5055 +struct cpool_entry
5056 +{
5057 +  int                  refcount;
5058 +  offsetT              offset;
5059 +  expressionS          exp;
5060 +};
5061 +
5062 +struct cpool
5063 +{
5064 +  struct cpool         *next;
5065 +  int                  used;
5066 +  struct cpool_entry   *literals;
5067 +  unsigned int         padding;
5068 +  unsigned int         next_free_entry;
5069 +  unsigned int         id;
5070 +  symbolS              *symbol;
5071 +  segT                 section;
5072 +  subsegT              sub_section;
5073 +};
5074 +
5075 +struct cpool *cpool_list = NULL;
5076 +
5077 +static struct cpool *
5078 +find_cpool(segT section, subsegT sub_section)
5079 +{
5080 +  struct cpool *pool;
5081 +
5082 +  for (pool = cpool_list; pool != NULL; pool = pool->next)
5083 +    {
5084 +      if (!pool->used
5085 +         && pool->section == section
5086 +         && pool->sub_section == sub_section)
5087 +       break;
5088 +    }
5089 +
5090 +  return pool;
5091 +}
5092 +
5093 +static struct cpool *
5094 +find_or_make_cpool(segT section, subsegT sub_section)
5095 +{
5096 +  static unsigned int next_cpool_id = 0;
5097 +  struct cpool *pool;
5098 +
5099 +  pool = find_cpool(section, sub_section);
5100 +
5101 +  if (!pool)
5102 +    {
5103 +      pool = xmalloc(sizeof(*pool));
5104 +      if (!pool)
5105 +       return NULL;
5106 +
5107 +      pool->used = 0;
5108 +      pool->literals = NULL;
5109 +      pool->padding = 0;
5110 +      pool->next_free_entry = 0;
5111 +      pool->section = section;
5112 +      pool->sub_section = sub_section;
5113 +      pool->next = cpool_list;
5114 +      pool->symbol = NULL;
5115 +
5116 +      cpool_list = pool;
5117 +    }
5118 +
5119 +  /* NULL symbol means that the pool is new or has just been emptied.  */
5120 +  if (!pool->symbol)
5121 +    {
5122 +      pool->symbol = symbol_create(FAKE_LABEL_NAME, undefined_section,
5123 +                                  0, &zero_address_frag);
5124 +      pool->id = next_cpool_id++;
5125 +    }
5126 +
5127 +  return pool;
5128 +}
5129 +
5130 +static struct cpool *
5131 +add_to_cpool(expressionS *exp, unsigned int *index, int ref)
5132 +{
5133 +  struct cpool *pool;
5134 +  unsigned int entry;
5135 +
5136 +  pool = find_or_make_cpool(now_seg, now_subseg);
5137 +
5138 +  /* Check if this constant is already in the pool.  */
5139 +  for (entry = 0; entry < pool->next_free_entry; entry++)
5140 +    {
5141 +      if ((pool->literals[entry].exp.X_op == exp->X_op)
5142 +         && (exp->X_op == O_constant)
5143 +         && (pool->literals[entry].exp.X_add_number
5144 +             == exp->X_add_number)
5145 +         && (pool->literals[entry].exp.X_unsigned
5146 +             == exp->X_unsigned))
5147 +       break;
5148 +
5149 +      if ((pool->literals[entry].exp.X_op == exp->X_op)
5150 +         && (exp->X_op == O_symbol)
5151 +         && (pool->literals[entry].exp.X_add_number
5152 +             == exp->X_add_number)
5153 +         && (pool->literals[entry].exp.X_add_symbol
5154 +             == exp->X_add_symbol)
5155 +         && (pool->literals[entry].exp.X_op_symbol
5156 +             == exp->X_op_symbol))
5157 +       break;
5158 +    }
5159 +
5160 +  /* Create an entry if we didn't find a match */
5161 +  if (entry == pool->next_free_entry)
5162 +    {
5163 +      pool->literals = xrealloc(pool->literals,
5164 +                               sizeof(struct cpool_entry) * (entry + 1));
5165 +      pool->literals[entry].exp = *exp;
5166 +      pool->literals[entry].refcount = 0;
5167 +      pool->next_free_entry++;
5168 +    }
5169 +
5170 +  if (index)
5171 +    *index = entry;
5172 +  if (ref)
5173 +    pool->literals[entry].refcount++;
5174 +
5175 +  return pool;
5176 +}
5177 +
5178 +struct avr32_operand
5179 +{
5180 +  int id;
5181 +  int is_signed;
5182 +  int is_pcrel;
5183 +  int align_order;
5184 +  int (*match)(char *str);
5185 +  void (*parse)(const struct avr32_operand *op, char *str, int opindex);
5186 +};
5187 +
5188 +static int
5189 +match_anything(char *str ATTRIBUTE_UNUSED)
5190 +{
5191 +  return 1;
5192 +}
5193 +
5194 +static int
5195 +match_intreg(char *str)
5196 +{
5197 +  int regid, ret = 1;
5198 +
5199 +  regid = avr32_parse_intreg(str);
5200 +  if (regid < 0)
5201 +    ret = 0;
5202 +
5203 +  pr_debug("match_intreg: `%s': %d\n", str, ret);
5204 +
5205 +  return ret;
5206 +}
5207 +
5208 +static int
5209 +match_intreg_predec(char *str)
5210 +{
5211 +  int regid;
5212 +
5213 +  if (str[0] != '-' || str[1] != '-')
5214 +    return 0;
5215 +
5216 +  regid = avr32_parse_intreg(str + 2);
5217 +  if (regid < 0)
5218 +    return 0;
5219 +
5220 +  return 1;
5221 +}
5222 +
5223 +static int
5224 +match_intreg_postinc(char *str)
5225 +{
5226 +  int regid, ret = 1;
5227 +  char *p, c;
5228 +
5229 +  for (p = str; *p; p++)
5230 +    if (*p == '+')
5231 +      break;
5232 +
5233 +  if (p[0] != '+' || p[1] != '+')
5234 +    return 0;
5235 +
5236 +  c = *p, *p = 0;
5237 +  regid = avr32_parse_intreg(str);
5238 +  if (regid < 0)
5239 +    ret = 0;
5240 +
5241 +  *p = c;
5242 +  return ret;
5243 +}
5244 +
5245 +static int
5246 +match_intreg_lsl(char *str)
5247 +{
5248 +  int regid, ret = 1;
5249 +  char *p, c;
5250 +
5251 +  for (p = str; *p; p++)
5252 +    if (*p == '<')
5253 +      break;
5254 +
5255 +  if (p[0] && p[1] != '<')
5256 +    return 0;
5257 +
5258 +  c = *p, *p = 0;
5259 +  regid = avr32_parse_intreg(str);
5260 +  if (regid < 0)
5261 +    ret = 0;
5262 +
5263 +  *p = c;
5264 +  return ret;
5265 +}
5266 +
5267 +static int
5268 +match_intreg_lsr(char *str)
5269 +{
5270 +  int regid, ret = 1;
5271 +  char *p, c;
5272 +
5273 +  for (p = str; *p; p++)
5274 +    if (*p == '>')
5275 +      break;
5276 +
5277 +  if (p[0] && p[1] != '>')
5278 +    return 0;
5279 +
5280 +  c = *p, *p = 0;
5281 +
5282 +  regid = avr32_parse_intreg(str);
5283 +  if (regid < 0)
5284 +    ret = 0;
5285 +
5286 +  *p = c;
5287 +  return ret;
5288 +}
5289 +
5290 +static int
5291 +match_intreg_part(char *str)
5292 +{
5293 +  int regid, ret = 1;
5294 +  char *p, c;
5295 +
5296 +  for (p = str; *p; p++)
5297 +    if (*p == ':')
5298 +      break;
5299 +
5300 +  if (p[0] != ':' || !ISPRINT(p[1]) || p[2] != '\0')
5301 +    return 0;
5302 +
5303 +  c = *p, *p = 0;
5304 +  regid = avr32_parse_intreg(str);
5305 +  if (regid < 0)
5306 +    ret = 0;
5307 +
5308 +  *p = c;
5309 +
5310 +  return ret;
5311 +}
5312 +
5313 +#define match_intreg_disp match_anything
5314 +
5315 +static int
5316 +match_intreg_index(char *str)
5317 +{
5318 +  int regid, ret = 1;
5319 +  char *p, *end, c;
5320 +
5321 +  for (p = str; *p; p++)
5322 +    if (*p == '[')
5323 +      break;
5324 +
5325 +  /* don't allow empty displacement here (it makes no sense) */
5326 +  if (p[0] != '[')
5327 +    return 0;
5328 +
5329 +  for (end = p + 1; *end; end++) ;
5330 +  if (*(--end) != ']')
5331 +    return 0;
5332 +
5333 +  c = *end, *end = 0;
5334 +  if (!match_intreg_lsl(p + 1))
5335 +    ret = 0;
5336 +  *end = c;
5337 +
5338 +  if (ret)
5339 +    {
5340 +      c = *p, *p = 0;
5341 +      regid = avr32_parse_intreg(str);
5342 +      if (regid < 0)
5343 +       ret = 0;
5344 +      *p = c;
5345 +    }
5346 +
5347 +  return ret;
5348 +}
5349 +
5350 +static int
5351 +match_intreg_xindex(char *str)
5352 +{
5353 +  int regid, ret = 1;
5354 +  char *p, *end, c;
5355 +
5356 +  for (p = str; *p; p++)
5357 +    if (*p == '[')
5358 +      break;
5359 +
5360 +  /* empty displacement makes no sense here either */
5361 +  if (p[0] != '[')
5362 +    return 0;
5363 +
5364 +  for (end = p + 1; *end; end++)
5365 +    if (*end == '<')
5366 +      break;
5367 +
5368 +  if (!streq(end, "<<2]"))
5369 +    return 0;
5370 +
5371 +  c = *end, *end = 0;
5372 +  if (!match_intreg_part(p + 1))
5373 +    ret = 0;
5374 +  *end = c;
5375 +
5376 +  if (ret)
5377 +    {
5378 +      c = *p, *p = 0;
5379 +      regid = avr32_parse_intreg(str);
5380 +      if (regid < 0)
5381 +       ret = 0;
5382 +      *p = c;
5383 +    }
5384 +
5385 +  return ret;
5386 +}
5387 +
5388 +/* The PC_UDISP_W operator may show up as a label or as a pc[disp]
5389 +   expression.  So there's no point in attempting to match this...  */
5390 +#define match_pc_disp  match_anything
5391 +
5392 +static int
5393 +match_sp(char *str)
5394 +{
5395 +  /* SP in any form will do */
5396 +  return avr32_parse_intreg(str) == AVR32_REG_SP;
5397 +}
5398 +
5399 +static int
5400 +match_sp_disp(char *str)
5401 +{
5402 +  int regid, ret = 1;
5403 +  char *p, c;
5404 +
5405 +  for (p = str; *p; p++)
5406 +    if (*p == '[')
5407 +      break;
5408 +
5409 +  /* allow empty displacement, meaning zero */
5410 +  if (p[0] == '[')
5411 +    {
5412 +      char *end;
5413 +      for (end = p + 1; *end; end++) ;
5414 +      if (end[-1] != ']')
5415 +       return 0;
5416 +    }
5417 +
5418 +  c = *p, *p = 0;
5419 +  regid = avr32_parse_intreg(str);
5420 +  if (regid != AVR32_REG_SP)
5421 +    ret = 0;
5422 +
5423 +  *p = c;
5424 +  return ret;
5425 +}
5426 +
5427 +static int
5428 +match_cpno(char *str)
5429 +{
5430 +  if (strncasecmp(str, "cp", 2) != 0)
5431 +    return 0;
5432 +  return 1;
5433 +}
5434 +
5435 +static int
5436 +match_cpreg(char *str)
5437 +{
5438 +  if (strncasecmp(str, "cr", 2) != 0)
5439 +    return 0;
5440 +  return 1;
5441 +}
5442 +
5443 +/* We allow complex expressions, and register names may show up as
5444 +   symbols.  Just make sure immediate expressions are always matched
5445 +   last.  */
5446 +#define match_const            match_anything
5447 +#define match_jmplabel         match_anything
5448 +#define match_number           match_anything
5449 +
5450 +/* Mnemonics that take reglists never accept anything else */
5451 +#define match_reglist8         match_anything
5452 +#define match_reglist9         match_anything
5453 +#define match_reglist16                match_anything
5454 +#define match_reglist_ldm      match_anything
5455 +#define match_reglist_cp8      match_anything
5456 +#define match_reglist_cpd8     match_anything
5457 +
5458 +/* Ditto for retval, jospinc and mcall */
5459 +#define match_retval           match_anything
5460 +#define match_jospinc          match_anything
5461 +#define match_mcall            match_anything
5462 +
5463 +/* COH is used to select between two different syntaxes */
5464 +static int
5465 +match_coh(char *str)
5466 +{
5467 +  return strcasecmp(str, "coh") == 0;
5468 +}
5469 +#if 0
5470 +static int
5471 +match_fpreg(char *str)
5472 +{
5473 +  unsigned long regid;
5474 +  char *endptr;
5475 +
5476 +  if ((str[0] != 'f' && str[0] != 'F')
5477 +      || (str[1] != 'r' && str[1] != 'R'))
5478 +    return 0;
5479 +
5480 +  str += 2;
5481 +  regid = strtoul(str, &endptr, 10);
5482 +  if (!*str || *endptr)
5483 +    return 0;
5484 +
5485 +  return 1;
5486 +}
5487 +#endif
5488 +
5489 +static int
5490 +match_picoreg(char *str)
5491 +{
5492 +  int regid;
5493 +
5494 +  regid = avr32_parse_picoreg(str);
5495 +  if (regid < 0)
5496 +    return 0;
5497 +  return 1;
5498 +}
5499 +
5500 +#define match_pico_reglist_w   match_anything
5501 +#define match_pico_reglist_d   match_anything
5502 +
5503 +static int
5504 +match_pico_in(char *str)
5505 +{
5506 +  unsigned long regid;
5507 +  char *end;
5508 +
5509 +  if (strncasecmp(str, "in", 2) != 0)
5510 +    return 0;
5511 +
5512 +  str += 2;
5513 +  regid = strtoul(str, &end, 10);
5514 +  if (!*str || *end)
5515 +    return 0;
5516 +
5517 +  return 1;
5518 +}
5519 +
5520 +static int
5521 +match_pico_out0(char *str)
5522 +{
5523 +  if (strcasecmp(str, "out0") != 0)
5524 +    return 0;
5525 +  return 1;
5526 +}
5527 +
5528 +static int
5529 +match_pico_out1(char *str)
5530 +{
5531 +  if (strcasecmp(str, "out1") != 0)
5532 +    return 0;
5533 +  return 1;
5534 +}
5535 +
5536 +static int
5537 +match_pico_out2(char *str)
5538 +{
5539 +  if (strcasecmp(str, "out2") != 0)
5540 +    return 0;
5541 +  return 1;
5542 +}
5543 +
5544 +static int
5545 +match_pico_out3(char *str)
5546 +{
5547 +  if (strcasecmp(str, "out3") != 0)
5548 +    return 0;
5549 +  return 1;
5550 +}
5551 +
5552 +static void parse_nothing(const struct avr32_operand *op ATTRIBUTE_UNUSED,
5553 +                         char *str ATTRIBUTE_UNUSED,
5554 +                         int opindex ATTRIBUTE_UNUSED)
5555 +{
5556 +  /* Do nothing (this is used for "match-only" operands like COH) */
5557 +}
5558 +
5559 +static void
5560 +parse_const(const struct avr32_operand *op, char *str,
5561 +           int opindex ATTRIBUTE_UNUSED)
5562 +{
5563 +  expressionS *exp = &current_insn.immediate;
5564 +  expressionS *sym_exp;
5565 +  int slot;
5566 +  char *save;
5567 +
5568 +  pr_debug("parse_const: `%s' (signed: %d, pcrel: %d, align: %d)\n",
5569 +          str, op->is_signed, op->is_pcrel, op->align_order);
5570 +
5571 +  save = input_line_pointer;
5572 +  input_line_pointer = str;
5573 +
5574 +  expression(exp);
5575 +
5576 +  slot = current_insn.next_slot++;
5577 +  current_insn.field_value[slot].align_order = op->align_order;
5578 +  current_insn.pcrel = op->is_pcrel;
5579 +
5580 +  switch (exp->X_op)
5581 +    {
5582 +    case O_illegal:
5583 +      as_bad(_("illegal operand"));
5584 +      break;
5585 +    case O_absent:
5586 +      as_bad(_("missing operand"));
5587 +      break;
5588 +    case O_constant:
5589 +      pr_debug("  -> constant: %ld\n", (long)exp->X_add_number);
5590 +      current_insn.field_value[slot].value = exp->X_add_number;
5591 +      break;
5592 +    case O_uminus:
5593 +      pr_debug("  -> uminus\n");
5594 +      sym_exp = symbol_get_value_expression(exp->X_add_symbol);
5595 +      switch (sym_exp->X_op) {
5596 +      case O_subtract:
5597 +       pr_debug("     -> subtract: switching operands\n");
5598 +       exp->X_op_symbol = sym_exp->X_add_symbol;
5599 +       exp->X_add_symbol = sym_exp->X_op_symbol;
5600 +       exp->X_op = O_subtract;
5601 +       /* TODO: Remove the old X_add_symbol */
5602 +       break;
5603 +      default:
5604 +       as_bad(_("Expression too complex\n"));
5605 +       break;
5606 +      }
5607 +      break;
5608 +#if 0
5609 +    case O_subtract:
5610 +      /* Any expression subtracting a symbol from the current section
5611 +        can be made PC-relative by adding the right offset.  */
5612 +      if (S_GET_SEGMENT(exp->X_op_symbol) == now_seg)
5613 +       current_insn.pcrel = TRUE;
5614 +      pr_debug("  -> subtract: pcrel? %s\n",
5615 +              current_insn.pcrel ? "yes" : "no");
5616 +      /* fall through */
5617 +#endif
5618 +    default:
5619 +      pr_debug("  -> (%p <%d> %p + %d)\n",
5620 +              exp->X_add_symbol, exp->X_op, exp->X_op_symbol,
5621 +              exp->X_add_number);
5622 +      current_insn.field_value[slot].value = 0;
5623 +      break;
5624 +    }
5625 +
5626 +  input_line_pointer = save;
5627 +}
5628 +
5629 +static void
5630 +parse_jmplabel(const struct avr32_operand *op, char *str,
5631 +              int opindex ATTRIBUTE_UNUSED)
5632 +{
5633 +  expressionS *exp = &current_insn.immediate;
5634 +  int slot;
5635 +  char *save;
5636 +
5637 +  pr_debug("parse_jmplabel: `%s' (signed: %d, pcrel: %d, align: %d)\n",
5638 +          str, op->is_signed, op->is_pcrel, op->align_order);
5639 +
5640 +  save = input_line_pointer;
5641 +  input_line_pointer = str;
5642 +
5643 +  expression(exp);
5644 +
5645 +  slot = current_insn.next_slot++;
5646 +  current_insn.field_value[slot].align_order = op->align_order;
5647 +  current_insn.pcrel = TRUE;
5648 +
5649 +  switch (exp->X_op)
5650 +    {
5651 +    case O_illegal:
5652 +      as_bad(_("illegal operand"));
5653 +      break;
5654 +    case O_absent:
5655 +      as_bad(_("missing operand"));
5656 +      break;
5657 +    case O_constant:
5658 +      pr_debug("  -> constant: %ld\n", (long)exp->X_add_number);
5659 +      current_insn.field_value[slot].value = exp->X_add_number;
5660 +      current_insn.pcrel = 0;
5661 +      break;
5662 +    default:
5663 +      pr_debug("  -> (%p <%d> %p + %d)\n",
5664 +              exp->X_add_symbol, exp->X_op, exp->X_op_symbol,
5665 +              exp->X_add_number);
5666 +      current_insn.field_value[slot].value = 0;
5667 +      break;
5668 +    }
5669 +
5670 +  input_line_pointer = save;
5671 +}
5672 +
5673 +static void
5674 +parse_intreg(const struct avr32_operand *op ATTRIBUTE_UNUSED,
5675 +            char *str, int opindex ATTRIBUTE_UNUSED)
5676 +{
5677 +  int regid, slot;
5678 +
5679 +  pr_debug("parse_intreg: `%s'\n", str);
5680 +
5681 +  regid = avr32_parse_intreg(str);
5682 +  assert(regid >= 0);
5683 +
5684 +  slot = current_insn.next_slot++;
5685 +  current_insn.field_value[slot].value = regid;
5686 +  current_insn.field_value[slot].align_order = op->align_order;
5687 +}
5688 +
5689 +static void
5690 +parse_intreg_predec(const struct avr32_operand *op, char *str, int opindex)
5691 +{
5692 +  parse_intreg(op, str + 2, opindex);
5693 +}
5694 +
5695 +static void
5696 +parse_intreg_postinc(const struct avr32_operand *op, char *str, int opindex)
5697 +{
5698 +  char *p, c;
5699 +
5700 +  pr_debug("parse_intreg_postinc: `%s'\n", str);
5701 +
5702 +  for (p = str; *p != '+'; p++) ;
5703 +
5704 +  c = *p, *p = 0;
5705 +  parse_intreg(op, str, opindex);
5706 +  *p = c;
5707 +}
5708 +
5709 +static void
5710 +parse_intreg_shift(const struct avr32_operand *op ATTRIBUTE_UNUSED,
5711 +                  char *str, int opindex ATTRIBUTE_UNUSED)
5712 +{
5713 +  int regid, slot, shift = 0;
5714 +  char *p, c;
5715 +  char shiftop;
5716 +
5717 +  pr_debug("parse Ry<<sa: `%s'\n", str);
5718 +
5719 +  for (p = str; *p; p++)
5720 +    if (*p == '<' || *p == '>')
5721 +      break;
5722 +
5723 +  shiftop = *p;
5724 +
5725 +  c = *p, *p = 0;
5726 +  regid = avr32_parse_intreg(str);
5727 +  assert(regid >= 0);
5728 +  *p = c;
5729 +
5730 +  if (c)
5731 +    {
5732 +      if (p[0] != shiftop || p[1] != shiftop)
5733 +       as_bad(_("expected shift operator in `%s'"), p);
5734 +      else
5735 +       {
5736 +         expressionS exp;
5737 +         char *saved;
5738 +
5739 +         saved = input_line_pointer;
5740 +         input_line_pointer = p + 2;
5741 +         expression(&exp);
5742 +         input_line_pointer = saved;
5743 +
5744 +         if (exp.X_op != O_constant)
5745 +           as_bad(_("shift amount must be a numeric constant"));
5746 +         else
5747 +           shift = exp.X_add_number;
5748 +       }
5749 +    }
5750 +
5751 +  slot = current_insn.next_slot++;
5752 +  current_insn.field_value[slot].value = regid;
5753 +  slot = current_insn.next_slot++;
5754 +  current_insn.field_value[slot].value = shift;
5755 +}
5756 +
5757 +/* The match() function selected the right opcode, so it doesn't
5758 +   matter which way we shift any more.  */
5759 +#define parse_intreg_lsl       parse_intreg_shift
5760 +#define parse_intreg_lsr       parse_intreg_shift
5761 +
5762 +static void
5763 +parse_intreg_part(const struct avr32_operand *op, char *str,
5764 +                 int opindex ATTRIBUTE_UNUSED)
5765 +{
5766 +  static const char bparts[] = { 'b', 'l', 'u', 't' };
5767 +  static const char hparts[] = { 'b', 't' };
5768 +  unsigned int slot, sel;
5769 +  int regid;
5770 +  char *p, c;
5771 +
5772 +  pr_debug("parse reg:part `%s'\n", str);
5773 +
5774 +  for (p = str; *p; p++)
5775 +    if (*p == ':')
5776 +      break;
5777 +
5778 +  c = *p, *p = 0;
5779 +  regid = avr32_parse_intreg(str);
5780 +  assert(regid >= 0);
5781 +  *p = c;
5782 +
5783 +  assert(c == ':');
5784 +
5785 +  if (op->align_order)
5786 +    {
5787 +      for (sel = 0; sel < sizeof(hparts); sel++)
5788 +       if (TOLOWER(p[1]) == hparts[sel])
5789 +         break;
5790 +
5791 +      if (sel >= sizeof(hparts))
5792 +       {
5793 +         as_bad(_("invalid halfword selector `%c' (must be either b or t)"),
5794 +                p[1]);
5795 +         sel = 0;
5796 +       }
5797 +    }
5798 +  else
5799 +    {
5800 +      for (sel = 0; sel < sizeof(bparts); sel++)
5801 +       if (TOLOWER(p[1]) == bparts[sel])
5802 +         break;
5803 +
5804 +      if (sel >= sizeof(bparts))
5805 +       {
5806 +         as_bad(_("invalid byte selector `%c' (must be one of b,l,u,t)"),
5807 +                p[1]);
5808 +         sel = 0;
5809 +       }
5810 +    }
5811 +
5812 +  slot = current_insn.next_slot++;
5813 +  current_insn.field_value[slot].value = regid;
5814 +  slot = current_insn.next_slot++;
5815 +  current_insn.field_value[slot].value = sel;
5816 +}
5817 +
5818 +/* This is the parser for "Rp[displacement]" expressions.  In addition
5819 +   to the "official" syntax, we accept a label as a replacement for
5820 +   the register expression.  This syntax implies Rp=PC and the
5821 +   displacement is the pc-relative distance to the label.  */
5822 +static void
5823 +parse_intreg_disp(const struct avr32_operand *op, char *str, int opindex)
5824 +{
5825 +  expressionS *exp = &current_insn.immediate;
5826 +  int slot, regid;
5827 +  char *save, *p, c;
5828 +
5829 +  pr_debug("parse_intreg_disp: `%s' (signed: %d, pcrel: %d, align: %d)\n",
5830 +          str, op->is_signed, op->is_pcrel, op->align_order);
5831 +
5832 +  for (p = str; *p; p++)
5833 +    if (*p == '[')
5834 +      break;
5835 +
5836 +  slot = current_insn.next_slot++;
5837 +
5838 +  /* First, check if we have a valid register either before '[' or as
5839 +     the sole expression.  If so, we use the Rp[disp] syntax.  */
5840 +  c = *p, *p = 0;
5841 +  regid = avr32_parse_intreg(str);
5842 +  *p = c;
5843 +
5844 +  if (regid >= 0)
5845 +    {
5846 +      current_insn.field_value[slot].value = regid;
5847 +
5848 +      slot = current_insn.next_slot++;
5849 +      current_insn.field_value[slot].align_order = op->align_order;
5850 +
5851 +      if (c == '[')
5852 +       {
5853 +         save = input_line_pointer;
5854 +         input_line_pointer = p + 1;
5855 +
5856 +         expression(exp);
5857 +
5858 +         if (*input_line_pointer != ']')
5859 +           as_bad(_("junk after displacement expression"));
5860 +
5861 +         input_line_pointer = save;
5862 +
5863 +         switch (exp->X_op)
5864 +           {
5865 +           case O_illegal:
5866 +             as_bad(_("illegal displacement expression"));
5867 +             break;
5868 +           case O_absent:
5869 +             as_bad(_("missing displacement expression"));
5870 +             break;
5871 +           case O_constant:
5872 +             pr_debug("  -> constant: %ld\n", exp->X_add_number);
5873 +             current_insn.field_value[slot].value = exp->X_add_number;
5874 +             break;
5875 +#if 0
5876 +           case O_subtract:
5877 +             if (S_GET_SEGMENT(exp->X_op_symbol) == now_seg)
5878 +               current_insn.pcrel = TRUE;
5879 +             pr_debug("  -> subtract: pcrel? %s\n",
5880 +                      current_insn.pcrel ? "yes" : "no");
5881 +             /* fall through */
5882 +#endif
5883 +           default:
5884 +             pr_debug("  -> (%p <%d> %p + %d)\n",
5885 +                      exp->X_add_symbol, exp->X_op, exp->X_op_symbol,
5886 +                      exp->X_add_number);
5887 +             current_insn.field_value[slot].value = 0;
5888 +           }
5889 +       }
5890 +      else
5891 +       {
5892 +         exp->X_op = O_constant;
5893 +         exp->X_add_number = 0;
5894 +         current_insn.field_value[slot].value = 0;
5895 +       }
5896 +    }
5897 +  else
5898 +    {
5899 +      /* Didn't find a valid register.  Try parsing it as a label.  */
5900 +      current_insn.field_value[slot].value = AVR32_REG_PC;
5901 +      parse_jmplabel(op, str, opindex);
5902 +    }
5903 +}
5904 +
5905 +static void
5906 +parse_intreg_index(const struct avr32_operand *op ATTRIBUTE_UNUSED,
5907 +                  char *str, int opindex ATTRIBUTE_UNUSED)
5908 +{
5909 +  int slot, regid;
5910 +  char *p, *end, c;
5911 +
5912 +  for (p = str; *p; p++)
5913 +    if (*p == '[')
5914 +      break;
5915 +
5916 +  assert(*p);
5917 +
5918 +  c = *p, *p = 0;
5919 +  regid = avr32_parse_intreg(str);
5920 +  assert(regid >= 0);
5921 +  *p = c;
5922 +
5923 +  slot = current_insn.next_slot++;
5924 +  current_insn.field_value[slot].value = regid;
5925 +
5926 +  p++;
5927 +  for (end = p; *end; end++)
5928 +    if (*end == ']' || *end == '<')
5929 +      break;
5930 +
5931 +  assert(*end);
5932 +
5933 +  c = *end, *end = 0;
5934 +  regid = avr32_parse_intreg(p);
5935 +  assert(regid >= 0);
5936 +  *end = c;
5937 +
5938 +  slot = current_insn.next_slot++;
5939 +  current_insn.field_value[slot].value = regid;
5940 +
5941 +  slot = current_insn.next_slot++;
5942 +  current_insn.field_value[slot].value = 0;
5943 +
5944 +  if (*end == '<')
5945 +    {
5946 +      expressionS exp;
5947 +      char *save;
5948 +
5949 +      p = end + 2;
5950 +      for (end = p; *end; end++)
5951 +       if (*end == ']')
5952 +         break;
5953 +
5954 +      assert(*end == ']');
5955 +
5956 +      c = *end, *end = 0;
5957 +      save = input_line_pointer;
5958 +      input_line_pointer = p;
5959 +      expression(&exp);
5960 +
5961 +      if (*input_line_pointer)
5962 +       as_bad(_("junk after shift expression"));
5963 +
5964 +      *end = c;
5965 +      input_line_pointer = save;
5966 +
5967 +      if (exp.X_op == O_constant)
5968 +       current_insn.field_value[slot].value = exp.X_add_number;
5969 +      else
5970 +       as_bad(_("shift expression too complex"));
5971 +    }
5972 +}
5973 +
5974 +static void
5975 +parse_intreg_xindex(const struct avr32_operand *op, char *str, int opindex)
5976 +{
5977 +  int slot, regid;
5978 +  char *p, *end, c;
5979 +
5980 +  for (p = str; *p; p++)
5981 +    if (*p == '[')
5982 +      break;
5983 +
5984 +  assert(*p);
5985 +
5986 +  c = *p, *p = 0;
5987 +  regid = avr32_parse_intreg(str);
5988 +  assert(regid >= 0);
5989 +  *p = c;
5990 +
5991 +  slot = current_insn.next_slot++;
5992 +  current_insn.field_value[slot].value = regid;
5993 +
5994 +  p++;
5995 +  for (end = p; *end; end++)
5996 +    if (*end == '<')
5997 +      break;
5998 +
5999 +  assert(*end);
6000 +
6001 +  c = *end, *end = 0;
6002 +  parse_intreg_part(op, p, opindex);
6003 +  *end = c;
6004 +}
6005 +
6006 +static void
6007 +parse_pc_disp(const struct avr32_operand *op, char *str, int opindex)
6008 +{
6009 +  char *p, c;
6010 +
6011 +  for (p = str; *p; p++)
6012 +    if (*p == '[')
6013 +      break;
6014 +
6015 +  /* The lddpc instruction comes in two different syntax variants:
6016 +       lddpc reg, expression
6017 +       lddpc reg, pc[disp]
6018 +     If the operand contains a '[', we use the second form.  */
6019 +  if (*p)
6020 +    {
6021 +      int regid;
6022 +
6023 +      c = *p, *p = 0;
6024 +      regid = avr32_parse_intreg(str);
6025 +      *p = c;
6026 +      if (regid == AVR32_REG_PC)
6027 +       {
6028 +         char *end;
6029 +
6030 +         for (end = ++p; *end; end++) ;
6031 +         if (*(--end) != ']')
6032 +           as_bad(_("unrecognized form of instruction: `%s'"), str);
6033 +         else
6034 +           {
6035 +             c = *end, *end = 0;
6036 +             parse_const(op, p, opindex);
6037 +             *end = c;
6038 +             current_insn.pcrel = 0;
6039 +           }
6040 +       }
6041 +      else
6042 +       as_bad(_("unrecognized form of instruction: `%s'"), str);
6043 +    }
6044 +  else
6045 +    {
6046 +      parse_jmplabel(op, str, opindex);
6047 +    }
6048 +}
6049 +
6050 +static void parse_sp(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6051 +                    char *str ATTRIBUTE_UNUSED,
6052 +                    int opindex ATTRIBUTE_UNUSED)
6053 +{
6054 +  int slot;
6055 +
6056 +  slot = current_insn.next_slot++;
6057 +  current_insn.field_value[slot].value = AVR32_REG_SP;
6058 +}
6059 +
6060 +static void
6061 +parse_sp_disp(const struct avr32_operand *op, char *str, int opindex)
6062 +{
6063 +  char *p, c;
6064 +
6065 +  for (; *str; str++)
6066 +    if (*str == '[')
6067 +      break;
6068 +
6069 +  assert(*str);
6070 +
6071 +  for (p = ++str; *p; p++)
6072 +    if (*p == ']')
6073 +      break;
6074 +
6075 +  c = *p, *p = 0;
6076 +  parse_const(op, str, opindex);
6077 +  *p = c;
6078 +}
6079 +
6080 +static void
6081 +parse_cpno(const struct avr32_operand *op ATTRIBUTE_UNUSED, char *str,
6082 +          int opindex ATTRIBUTE_UNUSED)
6083 +{
6084 +  int slot;
6085 +
6086 +  str += 2;
6087 +  if (*str == '#')
6088 +    str++;
6089 +  if (*str < '0' || *str > '7' || str[1])
6090 +    as_bad(_("invalid coprocessor `%s'"), str);
6091 +
6092 +  slot = current_insn.next_slot++;
6093 +  current_insn.field_value[slot].value = *str - '0';
6094 +}
6095 +
6096 +static void
6097 +parse_cpreg(const struct avr32_operand *op, char *str,
6098 +           int opindex ATTRIBUTE_UNUSED)
6099 +{
6100 +  unsigned int crid;
6101 +  int slot;
6102 +  char *endptr;
6103 +
6104 +  str += 2;
6105 +  crid = strtoul(str, &endptr, 10);
6106 +  if (*endptr || crid > 15 || crid & ((1 << op->align_order) - 1))
6107 +    as_bad(_("invalid coprocessor register `%s'"), str);
6108 +
6109 +  crid >>= op->align_order;
6110 +
6111 +  slot = current_insn.next_slot++;
6112 +  current_insn.field_value[slot].value = crid;
6113 +}
6114 +
6115 +static void
6116 +parse_number(const struct avr32_operand *op, char *str,
6117 +            int opindex ATTRIBUTE_UNUSED)
6118 +{
6119 +  expressionS exp;
6120 +  int slot;
6121 +  char *save;
6122 +
6123 +  save = input_line_pointer;
6124 +  input_line_pointer = str;
6125 +  expression(&exp);
6126 +  input_line_pointer = save;
6127 +
6128 +  slot = current_insn.next_slot++;
6129 +  current_insn.field_value[slot].align_order = op->align_order;
6130 +
6131 +  if (exp.X_op == O_constant)
6132 +      current_insn.field_value[slot].value = exp.X_add_number;
6133 +  else
6134 +      as_bad(_("invalid numeric expression `%s'"), str);
6135 +}
6136 +
6137 +static void
6138 +parse_reglist8(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6139 +              char *str, int opindex ATTRIBUTE_UNUSED)
6140 +{
6141 +  unsigned long regmask;
6142 +  unsigned long value = 0;
6143 +  int slot;
6144 +  char *tail;
6145 +
6146 +  regmask = avr32_parse_reglist(str, &tail);
6147 +  if (*tail)
6148 +    as_bad(_("invalid register list `%s'"), str);
6149 +  else
6150 +    {
6151 +      if (avr32_make_regmask8(regmask, &value))
6152 +       as_bad(_("register list `%s' doesn't fit"), str);
6153 +    }
6154 +
6155 +  slot = current_insn.next_slot++;
6156 +  current_insn.field_value[slot].value = value;
6157 +}
6158 +
6159 +static int
6160 +parse_reglist_tail(char *str, unsigned long regmask)
6161 +{
6162 +  expressionS exp;
6163 +  char *save, *p, c;
6164 +  int regid;
6165 +
6166 +  for (p = str + 1; *p; p++)
6167 +    if (*p == '=')
6168 +      break;
6169 +
6170 +  if (!*p)
6171 +    {
6172 +      as_bad(_("invalid register list `%s'"), str);
6173 +      return -2;
6174 +    }
6175 +
6176 +  c = *p, *p = 0;
6177 +  regid = avr32_parse_intreg(str);
6178 +  *p = c;
6179 +
6180 +  if (regid != 12)
6181 +    {
6182 +      as_bad(_("invalid register list `%s'"), str);
6183 +      return -2;
6184 +    }
6185 +
6186 +  /* If we have an assignment, we must pop PC and we must _not_
6187 +     pop LR or R12 */
6188 +  if (!(regmask & (1 << AVR32_REG_PC)))
6189 +    {
6190 +      as_bad(_("return value specified for non-return instruction"));
6191 +      return -2;
6192 +    }
6193 +  else if (regmask & ((1 << AVR32_REG_R12) | (1 << AVR32_REG_LR)))
6194 +    {
6195 +      as_bad(_("can't pop LR or R12 when specifying return value"));
6196 +      return -2;
6197 +    }
6198 +
6199 +  save = input_line_pointer;
6200 +  input_line_pointer = p + 1;
6201 +  expression(&exp);
6202 +  input_line_pointer = save;
6203 +
6204 +  if (exp.X_op != O_constant
6205 +      || exp.X_add_number < -1
6206 +      || exp.X_add_number > 1)
6207 +    {
6208 +      as_bad(_("invalid return value `%s'"), str);
6209 +      return -2;
6210 +    }
6211 +
6212 +  return exp.X_add_number;
6213 +}
6214 +
6215 +static void
6216 +parse_reglist9(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6217 +              char *str, int opindex ATTRIBUTE_UNUSED)
6218 +{
6219 +  unsigned long regmask;
6220 +  unsigned long value = 0, kbit = 0;
6221 +  int slot;
6222 +  char *tail;
6223 +
6224 +  regmask = avr32_parse_reglist(str, &tail);
6225 +  /* printf("parsed reglist16: %04lx, tail: `%s'\n", regmask, tail); */
6226 +  if (*tail)
6227 +    {
6228 +      int retval;
6229 +
6230 +      retval = parse_reglist_tail(tail, regmask);
6231 +
6232 +      switch (retval)
6233 +       {
6234 +       case -1:
6235 +         regmask |= 1 << AVR32_REG_LR;
6236 +         break;
6237 +       case 0:
6238 +         break;
6239 +       case 1:
6240 +         regmask |= 1 << AVR32_REG_R12;
6241 +         break;
6242 +       default:
6243 +         break;
6244 +       }
6245 +
6246 +      kbit = 1;
6247 +    }
6248 +
6249 +  if (avr32_make_regmask8(regmask, &value))
6250 +    as_bad(_("register list `%s' doesn't fit"), str);
6251 +
6252 +
6253 +  slot = current_insn.next_slot++;
6254 +  current_insn.field_value[slot].value = (value << 1) | kbit;
6255 +}
6256 +
6257 +static void
6258 +parse_reglist16(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6259 +               char *str, int opindex ATTRIBUTE_UNUSED)
6260 +{
6261 +  unsigned long regmask;
6262 +  int slot;
6263 +  char *tail;
6264 +
6265 +  regmask = avr32_parse_reglist(str, &tail);
6266 +  if (*tail)
6267 +    as_bad(_("invalid register list `%s'"), str);
6268 +
6269 +  slot = current_insn.next_slot++;
6270 +  current_insn.field_value[slot].value = regmask;
6271 +}
6272 +
6273 +static void
6274 +parse_reglist_ldm(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6275 +                 char *str, int opindex ATTRIBUTE_UNUSED)
6276 +{
6277 +  unsigned long regmask;
6278 +  int slot, rp, w_bit = 0;
6279 +  char *tail, *p, c;
6280 +
6281 +  for (p = str; *p && *p != ','; p++)
6282 +    if (*p == '+')
6283 +      break;
6284 +
6285 +  c = *p, *p = 0;
6286 +  rp = avr32_parse_intreg(str);
6287 +  *p = c;
6288 +  if (rp < 0)
6289 +    {
6290 +      as_bad(_("invalid destination register in `%s'"), str);
6291 +      return;
6292 +    }
6293 +
6294 +  if (p[0] == '+' && p[1] == '+')
6295 +    {
6296 +      w_bit = 1;
6297 +      p += 2;
6298 +    }
6299 +
6300 +  if (*p != ',')
6301 +    {
6302 +      as_bad(_("expected `,' after destination register in `%s'"), str);
6303 +      return;
6304 +    }
6305 +
6306 +  str = p + 1;
6307 +  regmask = avr32_parse_reglist(str, &tail);
6308 +  if (*tail)
6309 +    {
6310 +      int retval;
6311 +
6312 +      if (rp != AVR32_REG_SP)
6313 +       {
6314 +         as_bad(_("junk at end of line: `%s'"), tail);
6315 +         return;
6316 +       }
6317 +
6318 +      rp = AVR32_REG_PC;
6319 +
6320 +      retval = parse_reglist_tail(tail, regmask);
6321 +
6322 +      switch (retval)
6323 +       {
6324 +       case -1:
6325 +         regmask |= 1 << AVR32_REG_LR;
6326 +         break;
6327 +       case 0:
6328 +         break;
6329 +       case 1:
6330 +         regmask |= 1 << AVR32_REG_R12;
6331 +         break;
6332 +       default:
6333 +         return;
6334 +       }
6335 +    }
6336 +
6337 +  slot = current_insn.next_slot++;
6338 +  current_insn.field_value[slot].value = rp;
6339 +  slot = current_insn.next_slot++;
6340 +  current_insn.field_value[slot].value = w_bit;
6341 +  slot = current_insn.next_slot++;
6342 +  current_insn.field_value[slot].value = regmask;
6343 +}
6344 +
6345 +static void
6346 +parse_reglist_cp8(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6347 +                 char *str, int opindex ATTRIBUTE_UNUSED)
6348 +{
6349 +  unsigned long regmask;
6350 +  int slot, h_bit = 0;
6351 +  char *tail;
6352 +
6353 +  regmask = avr32_parse_cpreglist(str, &tail);
6354 +  if (*tail)
6355 +    as_bad(_("junk at end of line: `%s'"), tail);
6356 +  else if (regmask & 0xffUL)
6357 +    {
6358 +      if (regmask & 0xff00UL)
6359 +       as_bad(_("register list `%s' doesn't fit"), str);
6360 +      regmask &= 0xff;
6361 +    }
6362 +  else if (regmask & 0xff00UL)
6363 +    {
6364 +      regmask >>= 8;
6365 +      h_bit = 1;
6366 +    }
6367 +  else
6368 +    as_warn(_("register list is empty"));
6369 +
6370 +  slot = current_insn.next_slot++;
6371 +  current_insn.field_value[slot].value = regmask;
6372 +  slot = current_insn.next_slot++;
6373 +  current_insn.field_value[slot].value = h_bit;
6374 +}
6375 +
6376 +static void
6377 +parse_reglist_cpd8(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6378 +                  char *str, int opindex ATTRIBUTE_UNUSED)
6379 +{
6380 +  unsigned long regmask, regmask_d = 0;
6381 +  int slot, i;
6382 +  char *tail;
6383 +
6384 +  regmask = avr32_parse_cpreglist(str, &tail);
6385 +  if (*tail)
6386 +    as_bad(_("junk at end of line: `%s'"), tail);
6387 +
6388 +  for (i = 0; i < 8; i++)
6389 +    {
6390 +      if (regmask & 1)
6391 +       {
6392 +         if (!(regmask & 2))
6393 +           {
6394 +             as_bad(_("register list `%s' doesn't fit"), str);
6395 +             break;
6396 +           }
6397 +         regmask_d |= 1 << i;
6398 +       }
6399 +      else if (regmask & 2)
6400 +       {
6401 +         as_bad(_("register list `%s' doesn't fit"), str);
6402 +         break;
6403 +       }
6404 +
6405 +      regmask >>= 2;
6406 +    }
6407 +
6408 +  slot = current_insn.next_slot++;
6409 +  current_insn.field_value[slot].value = regmask_d;
6410 +}
6411 +
6412 +static void
6413 +parse_retval(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6414 +            char *str, int opindex ATTRIBUTE_UNUSED)
6415 +{
6416 +  int regid, slot;
6417 +
6418 +  regid = avr32_parse_intreg(str);
6419 +  if (regid < 0)
6420 +    {
6421 +      expressionS exp;
6422 +      char *save;
6423 +
6424 +      regid = 0;
6425 +
6426 +      save = input_line_pointer;
6427 +      input_line_pointer = str;
6428 +      expression(&exp);
6429 +      input_line_pointer = save;
6430 +
6431 +      if (exp.X_op != O_constant)
6432 +       as_bad(_("invalid return value `%s'"), str);
6433 +      else
6434 +       switch (exp.X_add_number)
6435 +         {
6436 +         case -1:
6437 +           regid = AVR32_REG_LR;
6438 +           break;
6439 +         case 0:
6440 +           regid = AVR32_REG_SP;
6441 +           break;
6442 +         case 1:
6443 +           regid = AVR32_REG_PC;
6444 +           break;
6445 +         default:
6446 +           as_bad(_("invalid return value `%s'"), str);
6447 +           break;
6448 +         }
6449 +    }
6450 +
6451 +  slot = current_insn.next_slot++;
6452 +  current_insn.field_value[slot].value = regid;
6453 +}
6454 +
6455 +#define parse_mcall parse_intreg_disp
6456 +
6457 +static void
6458 +parse_jospinc(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6459 +             char *str, int opindex ATTRIBUTE_UNUSED)
6460 +{
6461 +  expressionS exp;
6462 +  int slot;
6463 +  char *save;
6464 +
6465 +  save = input_line_pointer;
6466 +  input_line_pointer = str;
6467 +  expression(&exp);
6468 +  input_line_pointer = save;
6469 +
6470 +  slot = current_insn.next_slot++;
6471 +
6472 +  if (exp.X_op == O_constant)
6473 +    {
6474 +      if (exp.X_add_number > 0)
6475 +       exp.X_add_number--;
6476 +      current_insn.field_value[slot].value = exp.X_add_number;
6477 +    }
6478 +  else
6479 +    as_bad(_("invalid numeric expression `%s'"), str);
6480 +}
6481 +
6482 +#define parse_coh              parse_nothing
6483 +#if 0
6484 +static void
6485 +parse_fpreg(const struct avr32_operand *op,
6486 +           char *str, int opindex ATTRIBUTE_UNUSED)
6487 +{
6488 +  unsigned long regid;
6489 +  int slot;
6490 +
6491 +  regid = strtoul(str + 2, NULL, 10);
6492 +
6493 +  if ((regid >= 16) || (regid & ((1 << op->align_order) - 1)))
6494 +    as_bad(_("invalid floating-point register `%s'"), str);
6495 +
6496 +  slot = current_insn.next_slot++;
6497 +  current_insn.field_value[slot].value = regid;
6498 +  current_insn.field_value[slot].align_order = op->align_order;
6499 +}
6500 +#endif
6501 +
6502 +static void
6503 +parse_picoreg(const struct avr32_operand *op,
6504 +             char *str, int opindex ATTRIBUTE_UNUSED)
6505 +{
6506 +  unsigned long regid;
6507 +  int slot;
6508 +
6509 +  regid = avr32_parse_picoreg(str);
6510 +  if (regid & ((1 << op->align_order) - 1))
6511 +    as_bad(_("invalid double-word PiCo register `%s'"), str);
6512 +
6513 +  slot = current_insn.next_slot++;
6514 +  current_insn.field_value[slot].value = regid;
6515 +  current_insn.field_value[slot].align_order = op->align_order;
6516 +}
6517 +
6518 +static void
6519 +parse_pico_reglist_w(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6520 +                    char *str, int opindex ATTRIBUTE_UNUSED)
6521 +{
6522 +  unsigned long regmask;
6523 +  int slot, h_bit = 0;
6524 +  char *tail;
6525 +
6526 +  regmask = avr32_parse_pico_reglist(str, &tail);
6527 +  if (*tail)
6528 +    as_bad(_("junk at end of line: `%s'"), tail);
6529 +
6530 +  if (regmask & 0x00ffUL)
6531 +    {
6532 +      if (regmask & 0xff00UL)
6533 +       as_bad(_("register list `%s' doesn't fit"), str);
6534 +      regmask &= 0x00ffUL;
6535 +    }
6536 +  else if (regmask & 0xff00UL)
6537 +    {
6538 +      regmask >>= 8;
6539 +      h_bit = 1;
6540 +    }
6541 +  else
6542 +    as_warn(_("register list is empty"));
6543 +
6544 +  slot = current_insn.next_slot++;
6545 +  current_insn.field_value[slot].value = regmask;
6546 +  slot = current_insn.next_slot++;
6547 +  current_insn.field_value[slot].value = h_bit;
6548 +}
6549 +
6550 +static void
6551 +parse_pico_reglist_d(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6552 +                    char *str, int opindex ATTRIBUTE_UNUSED)
6553 +{
6554 +  unsigned long regmask, regmask_d = 0;
6555 +  int slot, i;
6556 +  char *tail;
6557 +
6558 +  regmask = avr32_parse_pico_reglist(str, &tail);
6559 +  if (*tail)
6560 +    as_bad(_("junk at end of line: `%s'"), tail);
6561 +
6562 +  for (i = 0; i < 8; i++)
6563 +    {
6564 +      if (regmask & 1)
6565 +       {
6566 +         if (!(regmask & 2))
6567 +           {
6568 +             as_bad(_("register list `%s' doesn't fit"), str);
6569 +             break;
6570 +           }
6571 +         regmask_d |= 1 << i;
6572 +       }
6573 +      else if (regmask & 2)
6574 +       {
6575 +         as_bad(_("register list `%s' doesn't fit"), str);
6576 +         break;
6577 +       }
6578 +
6579 +      regmask >>= 2;
6580 +    }
6581 +
6582 +  slot = current_insn.next_slot++;
6583 +  current_insn.field_value[slot].value = regmask_d;
6584 +}
6585 +
6586 +static void
6587 +parse_pico_in(const struct avr32_operand *op ATTRIBUTE_UNUSED,
6588 +             char *str, int opindex ATTRIBUTE_UNUSED)
6589 +{
6590 +  unsigned long regid;
6591 +  int slot;
6592 +
6593 +  regid = strtoul(str + 2, NULL, 10);
6594 +
6595 +  if (regid >= 12)
6596 +    as_bad(_("invalid PiCo IN register `%s'"), str);
6597 +
6598 +  slot = current_insn.next_slot++;
6599 +  current_insn.field_value[slot].value = regid;
6600 +  current_insn.field_value[slot].align_order = 0;
6601 +}
6602 +
6603 +#define parse_pico_out0                parse_nothing
6604 +#define parse_pico_out1                parse_nothing
6605 +#define parse_pico_out2                parse_nothing
6606 +#define parse_pico_out3                parse_nothing
6607 +
6608 +#define OP(name, sgn, pcrel, align, func) \
6609 +  { AVR32_OPERAND_##name, sgn, pcrel, align, match_##func, parse_##func }
6610 +
6611 +struct avr32_operand avr32_operand_table[] = {
6612 +  OP(INTREG, 0, 0, 0, intreg),
6613 +  OP(INTREG_PREDEC, 0, 0, 0, intreg_predec),
6614 +  OP(INTREG_POSTINC, 0, 0, 0, intreg_postinc),
6615 +  OP(INTREG_LSL, 0, 0, 0, intreg_lsl),
6616 +  OP(INTREG_LSR, 0, 0, 0, intreg_lsr),
6617 +  OP(INTREG_BSEL, 0, 0, 0, intreg_part),
6618 +  OP(INTREG_HSEL, 0, 0, 1, intreg_part),
6619 +  OP(INTREG_SDISP, 1, 0, 0, intreg_disp),
6620 +  OP(INTREG_SDISP_H, 1, 0, 1, intreg_disp),
6621 +  OP(INTREG_SDISP_W, 1, 0, 2, intreg_disp),
6622 +  OP(INTREG_UDISP, 0, 0, 0, intreg_disp),
6623 +  OP(INTREG_UDISP_H, 0, 0, 1, intreg_disp),
6624 +  OP(INTREG_UDISP_W, 0, 0, 2, intreg_disp),
6625 +  OP(INTREG_INDEX, 0, 0, 0, intreg_index),
6626 +  OP(INTREG_XINDEX, 0, 0, 0, intreg_xindex),
6627 +  OP(DWREG, 0, 0, 1, intreg),
6628 +  OP(PC_UDISP_W, 0, 1, 2, pc_disp),
6629 +  OP(SP, 0, 0, 0, sp),
6630 +  OP(SP_UDISP_W, 0, 0, 2, sp_disp),
6631 +  OP(CPNO, 0, 0, 0, cpno),
6632 +  OP(CPREG, 0, 0, 0, cpreg),
6633 +  OP(CPREG_D, 0, 0, 1, cpreg),
6634 +  OP(UNSIGNED_CONST, 0, 0, 0, const),
6635 +  OP(UNSIGNED_CONST_W, 0, 0, 2, const),
6636 +  OP(SIGNED_CONST, 1, 0, 0, const),
6637 +  OP(SIGNED_CONST_W, 1, 0, 2, const),
6638 +  OP(JMPLABEL, 1, 1, 1, jmplabel),
6639 +  OP(UNSIGNED_NUMBER, 0, 0, 0, number),
6640 +  OP(UNSIGNED_NUMBER_W, 0, 0, 2, number),
6641 +  OP(REGLIST8, 0, 0, 0, reglist8),
6642 +  OP(REGLIST9, 0, 0, 0, reglist9),
6643 +  OP(REGLIST16, 0, 0, 0, reglist16),
6644 +  OP(REGLIST_LDM, 0, 0, 0, reglist_ldm),
6645 +  OP(REGLIST_CP8, 0, 0, 0, reglist_cp8),
6646 +  OP(REGLIST_CPD8, 0, 0, 0, reglist_cpd8),
6647 +  OP(RETVAL, 0, 0, 0, retval),
6648 +  OP(MCALL, 1, 0, 2, mcall),
6649 +  OP(JOSPINC, 0, 0, 0, jospinc),
6650 +  OP(COH, 0, 0, 0, coh),
6651 +  OP(PICO_REG_W, 0, 0, 0, picoreg),
6652 +  OP(PICO_REG_D, 0, 0, 1, picoreg),
6653 +  OP(PICO_REGLIST_W, 0, 0, 0, pico_reglist_w),
6654 +  OP(PICO_REGLIST_D, 0, 0, 0, pico_reglist_d),
6655 +  OP(PICO_IN, 0, 0, 0, pico_in),
6656 +  OP(PICO_OUT0, 0, 0, 0, pico_out0),
6657 +  OP(PICO_OUT1, 0, 0, 0, pico_out1),
6658 +  OP(PICO_OUT2, 0, 0, 0, pico_out2),
6659 +  OP(PICO_OUT3, 0, 0, 0, pico_out3),
6660 +};
6661 +
6662 +symbolS *
6663 +md_undefined_symbol (char *name ATTRIBUTE_UNUSED)
6664 +{
6665 +  pr_debug("md_undefined_symbol: %s\n", name);
6666 +  return 0;
6667 +}
6668 +
6669 +struct avr32_relax_type
6670 +{
6671 +  long lower_bound;
6672 +  long upper_bound;
6673 +  unsigned char align;
6674 +  unsigned char length;
6675 +  signed short next;
6676 +};
6677 +
6678 +#define EMPTY { 0, 0, 0, 0, -1 }
6679 +#define C(lower, upper, align, next)                   \
6680 +  { (lower), (upper), (align), 2, AVR32_OPC_##next }
6681 +#define E(lower, upper, align)                         \
6682 +  { (lower), (upper), (align), 4, -1 }
6683 +
6684 +static const struct avr32_relax_type avr32_relax_table[] =
6685 +  {
6686 +    /* 0 */
6687 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6688 +    EMPTY, EMPTY, EMPTY,
6689 +    E(0, 65535, 0), E(0, 65535, 0), E(0, 65535, 0), E(0, 65535, 0),
6690 +    EMPTY,
6691 +    /* 16 */
6692 +    EMPTY, EMPTY, EMPTY, EMPTY,
6693 +
6694 +    C(-256, 254, 1, BREQ2), C(-256, 254, 1, BRNE2),
6695 +    C(-256, 254, 1, BRCC2), C(-256, 254, 1, BRCS2),
6696 +    C(-256, 254, 1, BRGE2), C(-256, 254, 1, BRLT2),
6697 +    C(-256, 254, 1, BRMI2), C(-256, 254, 1, BRPL2),
6698 +    E(-2097152, 2097150, 1), E(-2097152, 2097150, 1),
6699 +    E(-2097152, 2097150, 1), E(-2097152, 2097150, 1),
6700 +    /* 32 */
6701 +    E(-2097152, 2097150, 1), E(-2097152, 2097150, 1),
6702 +    E(-2097152, 2097150, 1), E(-2097152, 2097150, 1),
6703 +    E(-2097152, 2097150, 1), E(-2097152, 2097150, 1),
6704 +    E(-2097152, 2097150, 1), E(-2097152, 2097150, 1),
6705 +    E(-2097152, 2097150, 1), E(-2097152, 2097150, 1),
6706 +    E(-2097152, 2097150, 1), E(-2097152, 2097150, 1),
6707 +
6708 +    EMPTY, EMPTY, EMPTY, EMPTY,
6709 +    /* 48 */
6710 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6711 +    EMPTY, EMPTY, EMPTY,
6712 +
6713 +    C(-32, 31, 0, CP_W3), E(-1048576, 1048575, 0),
6714 +
6715 +    EMPTY, EMPTY, EMPTY,
6716 +    /* 64: csrfcz */
6717 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6718 +    E(0, 65535, 0), E(0, 65535, 0),
6719 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6720 +    E(-32768, 32767, 0),
6721 +    /* 80: LD_SB2 */
6722 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6723 +
6724 +    C(0, 7, 0, LD_UB4), E(-32768, 32767, 0),
6725 +
6726 +    EMPTY,
6727 +    EMPTY, EMPTY,
6728 +
6729 +    C(0, 14, 1, LD_SH4), E(-32768, 32767, 0),
6730 +
6731 +    EMPTY, EMPTY, EMPTY,
6732 +
6733 +    C(0, 14, 1, LD_UH4),
6734 +
6735 +    /* 96: LD_UH4 */
6736 +    E(-32768, 32767, 0),
6737 +
6738 +    EMPTY, EMPTY, EMPTY, EMPTY,
6739 +
6740 +    C(0, 124, 2, LD_W4), E(-32768, 32767, 0),
6741 +
6742 +    E(0, 1020, 2),     /* LDC_D1 */
6743 +    EMPTY, EMPTY,
6744 +    E(0, 1020, 2),     /* LDC_W1 */
6745 +    EMPTY, EMPTY,
6746 +    E(0, 16380, 2),    /* LDC0_D */
6747 +    E(0, 16380, 2),    /* LDC0_W */
6748 +    EMPTY,
6749 +
6750 +    /* 112: LDCM_D_PU */
6751 +    EMPTY, EMPTY, EMPTY,
6752 +
6753 +    C(0, 508, 2, LDDPC_EXT), E(-32768, 32767, 0),
6754 +
6755 +    EMPTY,EMPTY, EMPTY,
6756 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6757 +
6758 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6759 +    /* 134: MACHH_W */
6760 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6761 +    E(-131072, 131068, 2),     /* MCALL */
6762 +    E(0, 1020, 2),             /* MFDR */
6763 +    E(0, 1020, 2),             /* MFSR */
6764 +    EMPTY, EMPTY,
6765 +
6766 +    C(-128, 127, 0, MOV2), E(-1048576, 1048575, 0),
6767 +
6768 +    EMPTY, EMPTY, EMPTY,
6769 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6770 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6771 +
6772 +    E(-128, 127, 0),           /* MOVEQ2 */
6773 +    E(-128, 127, 0),           /* MOVNE2 */
6774 +    E(-128, 127, 0),           /* MOVCC2 */
6775 +    E(-128, 127, 0),           /* 166: MOVCS2 */
6776 +    E(-128, 127, 0),           /* MOVGE2 */
6777 +    E(-128, 127, 0),           /* MOVLT2 */
6778 +    E(-128, 127, 0),           /* MOVMI2 */
6779 +    E(-128, 127, 0),           /* MOVPL2 */
6780 +    E(-128, 127, 0),           /* MOVLS2 */
6781 +    E(-128, 127, 0),           /* MOVGT2 */
6782 +    E(-128, 127, 0),           /* MOVLE2 */
6783 +    E(-128, 127, 0),           /* MOVHI2 */
6784 +    E(-128, 127, 0),           /* MOVVS2 */
6785 +    E(-128, 127, 0),           /* MOVVC2 */
6786 +    E(-128, 127, 0),           /* MOVQS2 */
6787 +    E(-128, 127, 0),           /* MOVAL2 */
6788 +
6789 +    E(0, 1020, 2),             /* MTDR */
6790 +    E(0, 1020, 2),             /* MTSR */
6791 +    EMPTY,
6792 +    EMPTY,
6793 +    E(-128, 127, 0),           /* MUL3 */
6794 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6795 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6796 +    /* 198: MVCR_W */
6797 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6798 +    E(0, 65535, 0), E(0, 65535, 0),
6799 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6800 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6801 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6802 +    /* 230: PASR_H */
6803 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6804 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6805 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6806 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6807 +    /* 262: PUNPCKSB_H */
6808 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6809 +
6810 +    C(-1024, 1022, 1, RCALL2), E(-2097152, 2097150, 1),
6811 +
6812 +    EMPTY,
6813 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6814 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6815 +    EMPTY, EMPTY, EMPTY,
6816 +
6817 +    C(-1024, 1022, 1, BRAL),
6818 +
6819 +    EMPTY, EMPTY, EMPTY,
6820 +    E(-128, 127, 0),           /* RSUB2 */
6821 +    /* 294: SATADD_H */
6822 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6823 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6824 +    E(0, 255, 0),              /* SLEEP */
6825 +    EMPTY, EMPTY,
6826 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6827 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6828 +    /* 326: ST_B2 */
6829 +    EMPTY, EMPTY,
6830 +    C(0, 7, 0, ST_B4), E(-32768, 32767, 0),
6831 +    EMPTY, EMPTY, EMPTY, EMPTY,
6832 +    E(-32768, 32767, 0),
6833 +    EMPTY, EMPTY, EMPTY,
6834 +    C(0, 14, 1, ST_H4), E(-32768, 32767, 0),
6835 +    EMPTY, EMPTY,
6836 +    EMPTY,
6837 +    C(0, 60, 2, ST_W4), E(-32768, 32767, 0),
6838 +    E(0, 1020, 2),     /* STC_D1 */
6839 +    EMPTY, EMPTY,
6840 +    E(0, 1020, 2),     /* STC_W1 */
6841 +    EMPTY, EMPTY,
6842 +    E(0, 16380, 2),    /* STC0_D */
6843 +    E(0, 16380, 2),    /* STC0_W */
6844 +
6845 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6846 +    /* 358: STDSP */
6847 +    EMPTY, EMPTY,
6848 +    E(0, 1020, 2),     /* STHH_W1 */
6849 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6850 +    EMPTY, EMPTY, EMPTY,
6851 +    E(-32768, 32767, 0),
6852 +    C(-512, 508, 2, SUB4),
6853 +    C(-128, 127, 0, SUB4), E(-1048576, 1048576, 0),
6854 +    /* SUB{cond} */
6855 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6856 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6857 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6858 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6859 +    /* SUBF{cond} */
6860 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6861 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6862 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6863 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6864 +    EMPTY,
6865 +
6866 +    /* 406: SWAP_B */
6867 +    EMPTY, EMPTY, EMPTY,
6868 +    E(0, 255, 0),      /* SYNC */
6869 +    EMPTY, EMPTY, EMPTY, EMPTY,
6870 +    /* 414: TST */
6871 +    EMPTY, EMPTY, E(-65536, 65535, 2), E(-65536, 65535, 2), E(-65536, 65535, 2), EMPTY, EMPTY, EMPTY,
6872 +    /* 422: RSUB{cond} */
6873 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6874 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6875 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6876 +    E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0), E(-128, 127, 0),
6877 +    /* 436: ADD{cond} */
6878 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6879 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6880 +    /* 454: SUB{cond} */
6881 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6882 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6883 +    /* 472: AND{cond} */
6884 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6885 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6886 +    /* 486: OR{cond} */
6887 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6888 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6889 +    /* 502: EOR{cond} */
6890 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6891 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6892 +    /* 518: LD.w{cond} */
6893 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6894 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6895 +    /* 534: LD.sh{cond} */
6896 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6897 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6898 +    /* 550: LD.uh{cond} */
6899 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6900 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6901 +    /* 566: LD.sb{cond} */
6902 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6903 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6904 +    /* 582: LD.ub{cond} */
6905 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6906 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6907 +    /* 596: ST.w{cond} */
6908 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6909 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6910 +    /* 614: ST.h{cond} */
6911 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6912 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6913 +    /* 630: ST.b{cond} */
6914 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6915 +    EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6916 +    /* 646: movh */
6917 +    E(0, 65535, 0), EMPTY, EMPTY,
6918 +  /* 649: fmac.s */
6919 +  EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6920 +  EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
6921 +  };
6922 +
6923 +#undef E
6924 +#undef C
6925 +#undef EMPTY
6926 +
6927 +#define AVR32_RS_NONE (-1)
6928 +
6929 +#define avr32_rs_size(state) (avr32_relax_table[(state)].length)
6930 +#define avr32_rs_align(state) (avr32_relax_table[(state)].align)
6931 +#define relax_more(state) (avr32_relax_table[(state)].next)
6932 +
6933 +#define opc_initial_substate(opc) ((opc)->id)
6934 +
6935 +static int need_relax(int subtype, offsetT distance)
6936 +{
6937 +  offsetT upper_bound, lower_bound;
6938 +
6939 +  upper_bound = avr32_relax_table[subtype].upper_bound;
6940 +  lower_bound = avr32_relax_table[subtype].lower_bound;
6941 +
6942 +  if (distance & ((1 << avr32_rs_align(subtype)) - 1))
6943 +    return 1;
6944 +  if ((distance > upper_bound) || (distance < lower_bound))
6945 +    return 1;
6946 +
6947 +  return 0;
6948 +}
6949 +
6950 +enum {
6951 +  LDA_SUBTYPE_MOV1,
6952 +  LDA_SUBTYPE_MOV2,
6953 +  LDA_SUBTYPE_SUB,
6954 +  LDA_SUBTYPE_LDDPC,
6955 +  LDA_SUBTYPE_LDW,
6956 +  LDA_SUBTYPE_GOTLOAD,
6957 +  LDA_SUBTYPE_GOTLOAD_LARGE,
6958 +};
6959 +
6960 +enum {
6961 +  CALL_SUBTYPE_RCALL1,
6962 +  CALL_SUBTYPE_RCALL2,
6963 +  CALL_SUBTYPE_MCALL_CP,
6964 +  CALL_SUBTYPE_MCALL_GOT,
6965 +  CALL_SUBTYPE_MCALL_LARGE,
6966 +};
6967 +
6968 +#define LDA_INITIAL_SIZE       (avr32_pic ? 4 : 2)
6969 +#define CALL_INITIAL_SIZE      2
6970 +
6971 +#define need_reloc(sym, seg, pcrel)                                    \
6972 +  (!(S_IS_DEFINED(sym)                                                 \
6973 +     && ((pcrel && S_GET_SEGMENT(sym) == seg)                          \
6974 +        || (!pcrel && S_GET_SEGMENT(sym) == absolute_section)))        \
6975 +   || S_FORCE_RELOC(sym, 1))
6976 +
6977 +/* Return an initial guess of the length by which a fragment must grow to
6978 +   hold a branch to reach its destination.
6979 +   Also updates fr_type/fr_subtype as necessary.
6980 +
6981 +   Called just before doing relaxation.
6982 +   Any symbol that is now undefined will not become defined.
6983 +   The guess for fr_var is ACTUALLY the growth beyond fr_fix.
6984 +   Whatever we do to grow fr_fix or fr_var contributes to our returned value.
6985 +   Although it may not be explicit in the frag, pretend fr_var starts with a
6986 +   0 value.  */
6987 +
6988 +static int
6989 +avr32_default_estimate_size_before_relax (fragS *fragP, segT segment)
6990 +{
6991 +  int growth = 0;
6992 +
6993 +  assert(fragP);
6994 +  assert(fragP->fr_symbol);
6995 +
6996 +  if (fragP->tc_frag_data.force_extended
6997 +      || need_reloc(fragP->fr_symbol, segment, fragP->tc_frag_data.pcrel))
6998 +    {
6999 +      int largest_state = fragP->fr_subtype;
7000 +      while (relax_more(largest_state) != AVR32_RS_NONE)
7001 +       largest_state = relax_more(largest_state);
7002 +      growth = avr32_rs_size(largest_state) - fragP->fr_var;
7003 +    }
7004 +  else
7005 +    {
7006 +      growth = avr32_rs_size(fragP->fr_subtype) - fragP->fr_var;
7007 +    }
7008 +
7009 +  pr_debug("%s:%d: md_estimate_size_before_relax: %d\n",
7010 +          fragP->fr_file, fragP->fr_line, growth);
7011 +
7012 +  return growth;
7013 +}
7014 +
7015 +static int
7016 +avr32_lda_estimate_size_before_relax(fragS *fragP, segT segment ATTRIBUTE_UNUSED)
7017 +{
7018 +  return fragP->fr_var - LDA_INITIAL_SIZE;
7019 +}
7020 +
7021 +static int
7022 +avr32_call_estimate_size_before_relax(fragS *fragP, segT segment ATTRIBUTE_UNUSED)
7023 +{
7024 +  return fragP->fr_var - CALL_INITIAL_SIZE;
7025 +}
7026 +
7027 +static int
7028 +avr32_cpool_estimate_size_before_relax(fragS *fragP,
7029 +                                      segT segment ATTRIBUTE_UNUSED)
7030 +{
7031 +  return fragP->fr_var;
7032 +}
7033 +
7034 +/* This macro may be defined to relax a frag. GAS will call this with the
7035 + * segment, the frag, and the change in size of all previous frags;
7036 + * md_relax_frag should return the change in size of the frag. */
7037 +static long
7038 +avr32_default_relax_frag (segT segment, fragS *fragP, long stretch)
7039 +{
7040 +  int state, next_state;
7041 +  symbolS *symbolP;    /* The target symbol */
7042 +  long growth = 0;
7043 +
7044 +  state = next_state = fragP->fr_subtype;
7045 +
7046 +  symbolP = fragP->fr_symbol;
7047 +
7048 +  if (fragP->tc_frag_data.force_extended
7049 +      || need_reloc(symbolP, segment, fragP->tc_frag_data.pcrel))
7050 +    {
7051 +      /* Symbol must be resolved by the linker. Emit the largest
7052 +        possible opcode. */
7053 +      while (relax_more(next_state) != AVR32_RS_NONE)
7054 +       next_state = relax_more(next_state);
7055 +    }
7056 +  else
7057 +    {
7058 +      addressT address;        /* The address of fragP */
7059 +      addressT target; /* The address of the target symbol */
7060 +      offsetT distance;        /* The distance between the insn and the symbol */
7061 +      fragS *sym_frag;
7062 +
7063 +      address = fragP->fr_address;
7064 +      target = fragP->fr_offset;
7065 +      symbolP = fragP->fr_symbol;
7066 +      sym_frag = symbol_get_frag(symbolP);
7067 +
7068 +      address += fragP->fr_fix - fragP->fr_var;
7069 +      target += S_GET_VALUE(symbolP);
7070 +
7071 +      if (stretch != 0
7072 +         && sym_frag->relax_marker != fragP->relax_marker
7073 +         && S_GET_SEGMENT(symbolP) == segment)
7074 +       /* if it was correctly aligned before, make sure it stays aligned */
7075 +       target += stretch & (~0UL << avr32_rs_align(state));
7076 +
7077 +      if (fragP->tc_frag_data.pcrel)
7078 +       distance = target - (address & (~0UL << avr32_rs_align(state)));
7079 +      else
7080 +       distance = target;
7081 +
7082 +      pr_debug("%s:%d: relax more? 0x%x - 0x%x = 0x%x (%d), align %d\n",
7083 +              fragP->fr_file, fragP->fr_line, target, address,
7084 +              distance, distance, avr32_rs_align(state));
7085 +
7086 +      if (need_relax(state, distance))
7087 +       {
7088 +         if (relax_more(state) != AVR32_RS_NONE)
7089 +           next_state = relax_more(state);
7090 +         pr_debug("%s:%d: relax more %d -> %d (%d - %d, align %d)\n",
7091 +                  fragP->fr_file, fragP->fr_line, state, next_state,
7092 +                  target, address, avr32_rs_align(state));
7093 +       }
7094 +    }
7095 +
7096 +  growth = avr32_rs_size(next_state) - avr32_rs_size(state);
7097 +  fragP->fr_subtype = next_state;
7098 +
7099 +  pr_debug("%s:%d: md_relax_frag: growth=%d, subtype=%d, opc=0x%08lx\n",
7100 +          fragP->fr_file, fragP->fr_line, growth, fragP->fr_subtype,
7101 +          avr32_opc_table[next_state].value);
7102 +
7103 +  return growth;
7104 +}
7105 +
7106 +static long
7107 +avr32_lda_relax_frag(segT segment, fragS *fragP, long stretch)
7108 +{
7109 +  struct cpool *pool= NULL;
7110 +  unsigned int entry = 0;
7111 +  addressT address, target;
7112 +  offsetT distance;
7113 +  symbolS *symbolP;
7114 +  fragS *sym_frag;
7115 +  long old_size, new_size;
7116 +
7117 +  symbolP = fragP->fr_symbol;
7118 +  old_size = fragP->fr_var;
7119 +  if (!avr32_pic)
7120 +    {
7121 +      pool = fragP->tc_frag_data.pool;
7122 +      entry = fragP->tc_frag_data.pool_entry;
7123 +    }
7124 +
7125 +  address = fragP->fr_address;
7126 +  address += fragP->fr_fix - LDA_INITIAL_SIZE;
7127 +
7128 +  if (!S_IS_DEFINED(symbolP) || S_FORCE_RELOC(symbolP, 1))
7129 +    goto relax_max;
7130 +
7131 +  target = fragP->fr_offset;
7132 +  sym_frag = symbol_get_frag(symbolP);
7133 +  target += S_GET_VALUE(symbolP);
7134 +
7135 +  if (sym_frag->relax_marker != fragP->relax_marker
7136 +      && S_GET_SEGMENT(symbolP) == segment)
7137 +    target += stretch;
7138 +
7139 +  distance = target - address;
7140 +
7141 +  pr_debug("lda_relax_frag: target: %d, address: %d, var: %d\n",
7142 +          target, address, fragP->fr_var);
7143 +
7144 +  if (!avr32_pic && S_GET_SEGMENT(symbolP) == absolute_section
7145 +      && target <= 127 && (offsetT)target >= -128)
7146 +    {
7147 +      if (fragP->fr_subtype == LDA_SUBTYPE_LDDPC
7148 +         || fragP->fr_subtype == LDA_SUBTYPE_LDW)
7149 +       pool->literals[entry].refcount--;
7150 +      new_size = 2;
7151 +      fragP->fr_subtype = LDA_SUBTYPE_MOV1;
7152 +    }
7153 +  else if (!avr32_pic && S_GET_SEGMENT(symbolP) == absolute_section
7154 +          && target <= 1048575 && (offsetT)target >= -1048576)
7155 +    {
7156 +      if (fragP->fr_subtype == LDA_SUBTYPE_LDDPC
7157 +         || fragP->fr_subtype == LDA_SUBTYPE_LDW)
7158 +       pool->literals[entry].refcount--;
7159 +      new_size = 4;
7160 +      fragP->fr_subtype = LDA_SUBTYPE_MOV2;
7161 +    }
7162 +  else if (!linkrelax && S_GET_SEGMENT(symbolP) == segment
7163 +          /* the field will be negated, so this is really -(-32768)
7164 +             and -(32767) */
7165 +          && distance <= 32768 && distance >= -32767)
7166 +    {
7167 +      if (!avr32_pic
7168 +         && (fragP->fr_subtype == LDA_SUBTYPE_LDDPC
7169 +             || fragP->fr_subtype == LDA_SUBTYPE_LDW))
7170 +       pool->literals[entry].refcount--;
7171 +      new_size = 4;
7172 +      fragP->fr_subtype = LDA_SUBTYPE_SUB;
7173 +    }
7174 +  else
7175 +    {
7176 +    relax_max:
7177 +      if (avr32_pic)
7178 +       {
7179 +         if (linkrelax)
7180 +           {
7181 +             new_size = 8;
7182 +             fragP->fr_subtype = LDA_SUBTYPE_GOTLOAD_LARGE;
7183 +           }
7184 +         else
7185 +           {
7186 +             new_size = 4;
7187 +             fragP->fr_subtype = LDA_SUBTYPE_GOTLOAD;
7188 +           }
7189 +       }
7190 +      else
7191 +       {
7192 +         if (fragP->fr_subtype != LDA_SUBTYPE_LDDPC
7193 +             && fragP->fr_subtype != LDA_SUBTYPE_LDW)
7194 +           pool->literals[entry].refcount++;
7195 +
7196 +         sym_frag = symbol_get_frag(pool->symbol);
7197 +         target = (sym_frag->fr_address + sym_frag->fr_fix
7198 +                   + pool->padding + pool->literals[entry].offset);
7199 +
7200 +         pr_debug("cpool sym address: 0x%lx\n",
7201 +                  sym_frag->fr_address + sym_frag->fr_fix);
7202 +
7203 +         know(pool->section == segment);
7204 +
7205 +         if (sym_frag->relax_marker != fragP->relax_marker)
7206 +           target += stretch;
7207 +
7208 +         distance = target - address;
7209 +         if (distance <= 508 && distance >= 0)
7210 +           {
7211 +             new_size = 2;
7212 +             fragP->fr_subtype = LDA_SUBTYPE_LDDPC;
7213 +           }
7214 +         else
7215 +           {
7216 +             new_size = 4;
7217 +             fragP->fr_subtype = LDA_SUBTYPE_LDW;
7218 +           }
7219 +
7220 +         pr_debug("lda_relax_frag (cpool): target=0x%lx, address=0x%lx, refcount=%d\n",
7221 +                  target, address, pool->literals[entry].refcount);
7222 +       }
7223 +    }
7224 +
7225 +  fragP->fr_var = new_size;
7226 +
7227 +  pr_debug("%s:%d: lda: relax pass done. subtype: %d, growth: %ld\n",
7228 +          fragP->fr_file, fragP->fr_line,
7229 +          fragP->fr_subtype, new_size - old_size);
7230 +
7231 +  return new_size - old_size;
7232 +}
7233 +
7234 +static long
7235 +avr32_call_relax_frag(segT segment, fragS *fragP, long stretch)
7236 +{
7237 +  struct cpool *pool = NULL;
7238 +  unsigned int entry = 0;
7239 +  addressT address, target;
7240 +  offsetT distance;
7241 +  symbolS *symbolP;
7242 +  fragS *sym_frag;
7243 +  long old_size, new_size;
7244 +
7245 +  symbolP = fragP->fr_symbol;
7246 +  old_size = fragP->fr_var;
7247 +  if (!avr32_pic)
7248 +    {
7249 +      pool = fragP->tc_frag_data.pool;
7250 +      entry = fragP->tc_frag_data.pool_entry;
7251 +    }
7252 +
7253 +  address = fragP->fr_address;
7254 +  address += fragP->fr_fix - CALL_INITIAL_SIZE;
7255 +
7256 +  if (need_reloc(symbolP, segment, 1))
7257 +    {
7258 +      pr_debug("call: must emit reloc\n");
7259 +      goto relax_max;
7260 +    }
7261 +
7262 +  target = fragP->fr_offset;
7263 +  sym_frag = symbol_get_frag(symbolP);
7264 +  target += S_GET_VALUE(symbolP);
7265 +
7266 +  if (sym_frag->relax_marker != fragP->relax_marker
7267 +      && S_GET_SEGMENT(symbolP) == segment)
7268 +    target += stretch;
7269 +
7270 +  distance = target - address;
7271 +
7272 +  if (distance <= 1022 && distance >= -1024)
7273 +    {
7274 +      pr_debug("call: distance is %d, emitting short rcall\n", distance);
7275 +      if (!avr32_pic && fragP->fr_subtype == CALL_SUBTYPE_MCALL_CP)
7276 +       pool->literals[entry].refcount--;
7277 +      new_size = 2;
7278 +      fragP->fr_subtype = CALL_SUBTYPE_RCALL1;
7279 +    }
7280 +  else if (distance <= 2097150 && distance >= -2097152)
7281 +    {
7282 +      pr_debug("call: distance is %d, emitting long rcall\n", distance);
7283 +      if (!avr32_pic && fragP->fr_subtype == CALL_SUBTYPE_MCALL_CP)
7284 +       pool->literals[entry].refcount--;
7285 +      new_size = 4;
7286 +      fragP->fr_subtype = CALL_SUBTYPE_RCALL2;
7287 +    }
7288 +  else
7289 +    {
7290 +      pr_debug("call: distance %d too far, emitting something big\n", distance);
7291 +
7292 +    relax_max:
7293 +      if (avr32_pic)
7294 +       {
7295 +         if (linkrelax)
7296 +           {
7297 +             new_size = 10;
7298 +             fragP->fr_subtype = CALL_SUBTYPE_MCALL_LARGE;
7299 +           }
7300 +         else
7301 +           {
7302 +             new_size = 4;
7303 +             fragP->fr_subtype = CALL_SUBTYPE_MCALL_GOT;
7304 +           }
7305 +       }
7306 +      else
7307 +       {
7308 +         if (fragP->fr_subtype != CALL_SUBTYPE_MCALL_CP)
7309 +           pool->literals[entry].refcount++;
7310 +
7311 +         new_size = 4;
7312 +         fragP->fr_subtype = CALL_SUBTYPE_MCALL_CP;
7313 +       }
7314 +    }
7315 +
7316 +  fragP->fr_var = new_size;
7317 +
7318 +  pr_debug("%s:%d: call: relax pass done, growth: %d, fr_var: %d\n",
7319 +          fragP->fr_file, fragP->fr_line,
7320 +          new_size - old_size, fragP->fr_var);
7321 +
7322 +  return new_size - old_size;
7323 +}
7324 +
7325 +static long
7326 +avr32_cpool_relax_frag(segT segment ATTRIBUTE_UNUSED,
7327 +                      fragS *fragP,
7328 +                      long stretch ATTRIBUTE_UNUSED)
7329 +{
7330 +  struct cpool *pool;
7331 +  addressT address;
7332 +  long old_size, new_size;
7333 +  unsigned int entry;
7334 +
7335 +  pool = fragP->tc_frag_data.pool;
7336 +  address = fragP->fr_address + fragP->fr_fix;
7337 +  old_size = fragP->fr_var;
7338 +  new_size = 0;
7339 +
7340 +  for (entry = 0; entry < pool->next_free_entry; entry++)
7341 +    {
7342 +      if (pool->literals[entry].refcount > 0)
7343 +       {
7344 +         pool->literals[entry].offset = new_size;
7345 +         new_size += 4;
7346 +       }
7347 +    }
7348 +
7349 +  fragP->fr_var = new_size;
7350 +
7351 +  return new_size - old_size;
7352 +}
7353 +
7354 +/* *fragP has been relaxed to its final size, and now needs to have
7355 +   the bytes inside it modified to conform to the new size.
7356 +
7357 +   Called after relaxation is finished.
7358 +   fragP->fr_type == rs_machine_dependent.
7359 +   fragP->fr_subtype is the subtype of what the address relaxed to.  */
7360 +
7361 +static void
7362 +avr32_default_convert_frag (bfd *abfd ATTRIBUTE_UNUSED,
7363 +                           segT segment ATTRIBUTE_UNUSED,
7364 +                           fragS *fragP)
7365 +{
7366 +  const struct avr32_opcode *opc;
7367 +  const struct avr32_ifield *ifield;
7368 +  bfd_reloc_code_real_type r_type;
7369 +  symbolS *symbolP;
7370 +  fixS *fixP;
7371 +  bfd_vma value;
7372 +  int subtype;
7373 +
7374 +  opc = &avr32_opc_table[fragP->fr_subtype];
7375 +  ifield = opc->fields[opc->var_field];
7376 +  symbolP = fragP->fr_symbol;
7377 +  subtype = fragP->fr_subtype;
7378 +  r_type = opc->reloc_type;
7379 +
7380 +  /* Clear the opcode bits and the bits belonging to the relaxed
7381 +     field.  We assume all other fields stay the same.  */
7382 +  value = bfd_getb32(fragP->fr_opcode);
7383 +  value &= ~(opc->mask | ifield->mask);
7384 +
7385 +  /* Insert the new opcode */
7386 +  value |= opc->value;
7387 +  bfd_putb32(value, fragP->fr_opcode);
7388 +
7389 +  fragP->fr_fix += opc->size - fragP->fr_var;
7390 +
7391 +  if (fragP->tc_frag_data.reloc_info != AVR32_OPINFO_NONE)
7392 +    {
7393 +      switch (fragP->tc_frag_data.reloc_info)
7394 +       {
7395 +       case AVR32_OPINFO_HI:
7396 +         r_type = BFD_RELOC_HI16;
7397 +         break;
7398 +       case AVR32_OPINFO_LO:
7399 +         r_type = BFD_RELOC_LO16;
7400 +         break;
7401 +       case AVR32_OPINFO_GOT:
7402 +         switch (r_type)
7403 +           {
7404 +           case BFD_RELOC_AVR32_18W_PCREL:
7405 +             r_type = BFD_RELOC_AVR32_GOT18SW;
7406 +             break;
7407 +           case BFD_RELOC_AVR32_16S:
7408 +             r_type = BFD_RELOC_AVR32_GOT16S;
7409 +             break;
7410 +           default:
7411 +             BAD_CASE(r_type);
7412 +             break;
7413 +           }
7414 +         break;
7415 +       default:
7416 +         BAD_CASE(fragP->tc_frag_data.reloc_info);
7417 +         break;
7418 +       }
7419 +    }
7420 +
7421 +  pr_debug("%s:%d: convert_frag: new %s fixup\n",
7422 +          fragP->fr_file, fragP->fr_line,
7423 +          bfd_get_reloc_code_name(r_type));
7424 +
7425 +#if 1
7426 +  fixP = fix_new_exp(fragP, fragP->fr_fix - opc->size, opc->size,
7427 +                    &fragP->tc_frag_data.exp,
7428 +                    fragP->tc_frag_data.pcrel, r_type);
7429 +#else
7430 +  fixP = fix_new(fragP, fragP->fr_fix - opc->size, opc->size, symbolP,
7431 +                fragP->fr_offset, fragP->tc_frag_data.pcrel, r_type);
7432 +#endif
7433 +
7434 +  /* Revert fix_new brain damage. "dot_value" is the value of PC at
7435 +     the point of the fixup, relative to the frag address.  fix_new()
7436 +     and friends think they are only being called during the assembly
7437 +     pass, not during relaxation or similar, so fx_dot_value, fx_file
7438 +     and fx_line are all initialized to the wrong value.  But we don't
7439 +     know the size of the fixup until now, so we really can't live up
7440 +     to the assumptions these functions make about the target.  What
7441 +     do these functions think the "where" and "frag" argument mean
7442 +     anyway?  */
7443 +  fixP->fx_dot_value = fragP->fr_fix - opc->size;
7444 +  fixP->fx_file = fragP->fr_file;
7445 +  fixP->fx_line = fragP->fr_line;
7446 +
7447 +  fixP->tc_fix_data.ifield = ifield;
7448 +  fixP->tc_fix_data.align = avr32_rs_align(subtype);
7449 +  fixP->tc_fix_data.min = avr32_relax_table[subtype].lower_bound;
7450 +  fixP->tc_fix_data.max = avr32_relax_table[subtype].upper_bound;
7451 +}
7452 +
7453 +static void
7454 +avr32_lda_convert_frag(bfd *abfd ATTRIBUTE_UNUSED,
7455 +                      segT segment ATTRIBUTE_UNUSED,
7456 +                      fragS *fragP)
7457 +{
7458 +  const struct avr32_opcode *opc;
7459 +  const struct avr32_ifield *ifield;
7460 +  bfd_reloc_code_real_type r_type;
7461 +  expressionS exp;
7462 +  struct cpool *pool;
7463 +  fixS *fixP;
7464 +  bfd_vma value;
7465 +  int regid, pcrel = 0, align = 0;
7466 +  char *p;
7467 +
7468 +  r_type = BFD_RELOC_NONE;
7469 +  regid = fragP->tc_frag_data.reloc_info;
7470 +  p = fragP->fr_opcode;
7471 +  exp.X_add_symbol = fragP->fr_symbol;
7472 +  exp.X_add_number = fragP->fr_offset;
7473 +  exp.X_op = O_symbol;
7474 +
7475 +  pr_debug("%s:%d: lda_convert_frag, subtype: %d, fix: %d, var: %d, regid: %d\n",
7476 +          fragP->fr_file, fragP->fr_line,
7477 +          fragP->fr_subtype, fragP->fr_fix, fragP->fr_var, regid);
7478 +
7479 +  switch (fragP->fr_subtype)
7480 +    {
7481 +    case LDA_SUBTYPE_MOV1:
7482 +      opc = &avr32_opc_table[AVR32_OPC_MOV1];
7483 +      opc->fields[0]->insert(opc->fields[0], p, regid);
7484 +      ifield = opc->fields[1];
7485 +      r_type = opc->reloc_type;
7486 +      break;
7487 +    case LDA_SUBTYPE_MOV2:
7488 +      opc = &avr32_opc_table[AVR32_OPC_MOV2];
7489 +      opc->fields[0]->insert(opc->fields[0], p, regid);
7490 +      ifield = opc->fields[1];
7491 +      r_type = opc->reloc_type;
7492 +      break;
7493 +    case LDA_SUBTYPE_SUB:
7494 +      opc = &avr32_opc_table[AVR32_OPC_SUB5];
7495 +      opc->fields[0]->insert(opc->fields[0], p, regid);
7496 +      opc->fields[1]->insert(opc->fields[1], p, AVR32_REG_PC);
7497 +      ifield = opc->fields[2];
7498 +      r_type = BFD_RELOC_AVR32_16N_PCREL;
7499 +
7500 +      /* Pretend that SUB5 isn't a "negated" pcrel expression for now.
7501 +        We'll have to fix it up later when we know whether to
7502 +        generate a reloc for it (in which case the linker will negate
7503 +        it, so we shouldn't). */
7504 +      pcrel = 1;
7505 +      break;
7506 +    case LDA_SUBTYPE_LDDPC:
7507 +      opc = &avr32_opc_table[AVR32_OPC_LDDPC];
7508 +      align = 2;
7509 +      r_type = BFD_RELOC_AVR32_9W_CP;
7510 +      goto cpool_common;
7511 +    case LDA_SUBTYPE_LDW:
7512 +      opc = &avr32_opc_table[AVR32_OPC_LDDPC_EXT];
7513 +      r_type = BFD_RELOC_AVR32_16_CP;
7514 +    cpool_common:
7515 +      opc->fields[0]->insert(opc->fields[0], p, regid);
7516 +      ifield = opc->fields[1];
7517 +      pool = fragP->tc_frag_data.pool;
7518 +      exp.X_add_symbol = pool->symbol;
7519 +      exp.X_add_number = pool->literals[fragP->tc_frag_data.pool_entry].offset;
7520 +      pcrel = 1;
7521 +      break;
7522 +    case LDA_SUBTYPE_GOTLOAD_LARGE:
7523 +      /* ld.w Rd, r6[Rd << 2] (last) */
7524 +      opc = &avr32_opc_table[AVR32_OPC_LD_W5];
7525 +      bfd_putb32(opc->value, p + 4);
7526 +      opc->fields[0]->insert(opc->fields[0], p + 4, regid);
7527 +      opc->fields[1]->insert(opc->fields[1], p + 4, 6);
7528 +      opc->fields[2]->insert(opc->fields[2], p + 4, regid);
7529 +      opc->fields[3]->insert(opc->fields[3], p + 4, 2);
7530 +
7531 +      /* mov Rd, (got_offset / 4) */
7532 +      opc = &avr32_opc_table[AVR32_OPC_MOV2];
7533 +      opc->fields[0]->insert(opc->fields[0], p, regid);
7534 +      ifield = opc->fields[1];
7535 +      r_type = BFD_RELOC_AVR32_LDA_GOT;
7536 +      break;
7537 +    case LDA_SUBTYPE_GOTLOAD:
7538 +      opc = &avr32_opc_table[AVR32_OPC_LD_W4];
7539 +      opc->fields[0]->insert(opc->fields[0], p, regid);
7540 +      opc->fields[1]->insert(opc->fields[1], p, 6);
7541 +      ifield = opc->fields[2];
7542 +      if (r_type == BFD_RELOC_NONE)
7543 +       r_type = BFD_RELOC_AVR32_GOT16S;
7544 +      break;
7545 +    default:
7546 +      BAD_CASE(fragP->fr_subtype);
7547 +    }
7548 +
7549 +  value = bfd_getb32(p);
7550 +  value &= ~(opc->mask | ifield->mask);
7551 +  value |= opc->value;
7552 +  bfd_putb32(value, p);
7553 +
7554 +  fragP->fr_fix += fragP->fr_var - LDA_INITIAL_SIZE;
7555 +
7556 +  if (fragP->fr_next
7557 +      && ((offsetT)(fragP->fr_next->fr_address - fragP->fr_address)
7558 +         != fragP->fr_fix))
7559 +    {
7560 +      fprintf(stderr, "LDA frag: fr_fix is wrong! fragP->fr_var = %ld, r_type = %s\n",
7561 +             fragP->fr_var, bfd_get_reloc_code_name(r_type));
7562 +      abort();
7563 +    }
7564 +
7565 +  fixP = fix_new_exp(fragP, fragP->fr_fix - fragP->fr_var, fragP->fr_var,
7566 +                    &exp, pcrel, r_type);
7567 +
7568 +  /* Revert fix_new brain damage. "dot_value" is the value of PC at
7569 +     the point of the fixup, relative to the frag address.  fix_new()
7570 +     and friends think they are only being called during the assembly
7571 +     pass, not during relaxation or similar, so fx_dot_value, fx_file
7572 +     and fx_line are all initialized to the wrong value.  But we don't
7573 +     know the size of the fixup until now, so we really can't live up
7574 +     to the assumptions these functions make about the target.  What
7575 +     do these functions think the "where" and "frag" argument mean
7576 +     anyway?  */
7577 +  fixP->fx_dot_value = fragP->fr_fix - opc->size;
7578 +  fixP->fx_file = fragP->fr_file;
7579 +  fixP->fx_line = fragP->fr_line;
7580 +
7581 +  fixP->tc_fix_data.ifield = ifield;
7582 +  fixP->tc_fix_data.align = align;
7583 +  /* these are only used if the fixup can actually be resolved */
7584 +  fixP->tc_fix_data.min = -32768;
7585 +  fixP->tc_fix_data.max = 32767;
7586 +}
7587 +
7588 +static void
7589 +avr32_call_convert_frag(bfd *abfd ATTRIBUTE_UNUSED,
7590 +                      segT segment ATTRIBUTE_UNUSED,
7591 +                      fragS *fragP)
7592 +{
7593 +  const struct avr32_opcode *opc = NULL;
7594 +  const struct avr32_ifield *ifield;
7595 +  bfd_reloc_code_real_type r_type;
7596 +  symbolS *symbol;
7597 +  offsetT offset;
7598 +  fixS *fixP;
7599 +  bfd_vma value;
7600 +  int pcrel = 0, align = 0;
7601 +  char *p;
7602 +
7603 +  symbol = fragP->fr_symbol;
7604 +  offset = fragP->fr_offset;
7605 +  r_type = BFD_RELOC_NONE;
7606 +  p = fragP->fr_opcode;
7607 +
7608 +  pr_debug("%s:%d: call_convert_frag, subtype: %d, fix: %d, var: %d\n",
7609 +          fragP->fr_file, fragP->fr_line,
7610 +          fragP->fr_subtype, fragP->fr_fix, fragP->fr_var);
7611 +
7612 +  switch (fragP->fr_subtype)
7613 +    {
7614 +    case CALL_SUBTYPE_RCALL1:
7615 +      opc = &avr32_opc_table[AVR32_OPC_RCALL1];
7616 +      /* fall through */
7617 +    case CALL_SUBTYPE_RCALL2:
7618 +      if (!opc)
7619 +       opc = &avr32_opc_table[AVR32_OPC_RCALL2];
7620 +      ifield = opc->fields[0];
7621 +      r_type = opc->reloc_type;
7622 +      pcrel = 1;
7623 +      align = 1;
7624 +      break;
7625 +    case CALL_SUBTYPE_MCALL_CP:
7626 +      opc = &avr32_opc_table[AVR32_OPC_MCALL];
7627 +      opc->fields[0]->insert(opc->fields[0], p, AVR32_REG_PC);
7628 +      ifield = opc->fields[1];
7629 +      r_type = BFD_RELOC_AVR32_CPCALL;
7630 +      symbol = fragP->tc_frag_data.pool->symbol;
7631 +      offset = fragP->tc_frag_data.pool->literals[fragP->tc_frag_data.pool_entry].offset;
7632 +      assert(fragP->tc_frag_data.pool->literals[fragP->tc_frag_data.pool_entry].refcount > 0);
7633 +      pcrel = 1;
7634 +      align = 2;
7635 +      break;
7636 +    case CALL_SUBTYPE_MCALL_GOT:
7637 +      opc = &avr32_opc_table[AVR32_OPC_MCALL];
7638 +      opc->fields[0]->insert(opc->fields[0], p, 6);
7639 +      ifield = opc->fields[1];
7640 +      r_type = BFD_RELOC_AVR32_GOT18SW;
7641 +      break;
7642 +    case CALL_SUBTYPE_MCALL_LARGE:
7643 +      assert(fragP->fr_var == 10);
7644 +      /* ld.w lr, r6[lr << 2] */
7645 +      opc = &avr32_opc_table[AVR32_OPC_LD_W5];
7646 +      bfd_putb32(opc->value, p + 4);
7647 +      opc->fields[0]->insert(opc->fields[0], p + 4, AVR32_REG_LR);
7648 +      opc->fields[1]->insert(opc->fields[1], p + 4, 6);
7649 +      opc->fields[2]->insert(opc->fields[2], p + 4, AVR32_REG_LR);
7650 +      opc->fields[3]->insert(opc->fields[3], p + 4, 2);
7651 +
7652 +      /* icall lr */
7653 +      opc = &avr32_opc_table[AVR32_OPC_ICALL];
7654 +      bfd_putb16(opc->value >> 16, p + 8);
7655 +      opc->fields[0]->insert(opc->fields[0], p + 8, AVR32_REG_LR);
7656 +
7657 +      /* mov lr, (got_offset / 4) */
7658 +      opc = &avr32_opc_table[AVR32_OPC_MOV2];
7659 +      opc->fields[0]->insert(opc->fields[0], p, AVR32_REG_LR);
7660 +      ifield = opc->fields[1];
7661 +      r_type = BFD_RELOC_AVR32_GOTCALL;
7662 +      break;
7663 +    default:
7664 +      BAD_CASE(fragP->fr_subtype);
7665 +    }
7666 +
7667 +  /* Insert the opcode and clear the variable ifield */
7668 +  value = bfd_getb32(p);
7669 +  value &= ~(opc->mask | ifield->mask);
7670 +  value |= opc->value;
7671 +  bfd_putb32(value, p);
7672 +
7673 +  fragP->fr_fix += fragP->fr_var - CALL_INITIAL_SIZE;
7674 +
7675 +  if (fragP->fr_next
7676 +      && ((offsetT)(fragP->fr_next->fr_address - fragP->fr_address)
7677 +         != fragP->fr_fix))
7678 +    {
7679 +      fprintf(stderr, "%s:%d: fr_fix %lu is wrong! fr_var=%lu, r_type=%s\n",
7680 +             fragP->fr_file, fragP->fr_line,
7681 +             fragP->fr_fix, fragP->fr_var, bfd_get_reloc_code_name(r_type));
7682 +      fprintf(stderr, "fr_fix should be %ld. next frag is %s:%d\n",
7683 +             (offsetT)(fragP->fr_next->fr_address - fragP->fr_address),
7684 +             fragP->fr_next->fr_file, fragP->fr_next->fr_line);
7685 +    }
7686 +
7687 +  fixP = fix_new(fragP, fragP->fr_fix - fragP->fr_var, fragP->fr_var,
7688 +                symbol, offset, pcrel, r_type);
7689 +
7690 +  /* Revert fix_new brain damage. "dot_value" is the value of PC at
7691 +     the point of the fixup, relative to the frag address.  fix_new()
7692 +     and friends think they are only being called during the assembly
7693 +     pass, not during relaxation or similar, so fx_dot_value, fx_file
7694 +     and fx_line are all initialized to the wrong value.  But we don't
7695 +     know the size of the fixup until now, so we really can't live up
7696 +     to the assumptions these functions make about the target.  What
7697 +     do these functions think the "where" and "frag" argument mean
7698 +     anyway?  */
7699 +  fixP->fx_dot_value = fragP->fr_fix - opc->size;
7700 +  fixP->fx_file = fragP->fr_file;
7701 +  fixP->fx_line = fragP->fr_line;
7702 +
7703 +  fixP->tc_fix_data.ifield = ifield;
7704 +  fixP->tc_fix_data.align = align;
7705 +  /* these are only used if the fixup can actually be resolved */
7706 +  fixP->tc_fix_data.min = -2097152;
7707 +  fixP->tc_fix_data.max = 2097150;
7708 +}
7709 +
7710 +static void
7711 +avr32_cpool_convert_frag(bfd *abfd ATTRIBUTE_UNUSED,
7712 +                        segT segment ATTRIBUTE_UNUSED,
7713 +                        fragS *fragP)
7714 +{
7715 +  struct cpool *pool;
7716 +  addressT address;
7717 +  unsigned int entry;
7718 +  char *p;
7719 +  char sym_name[20];
7720 +
7721 +  /* Did we get rid of the frag altogether? */
7722 +  if (!fragP->fr_var)
7723 +    return;
7724 +
7725 +  pool = fragP->tc_frag_data.pool;
7726 +  address = fragP->fr_address + fragP->fr_fix;
7727 +  p = fragP->fr_literal + fragP->fr_fix;
7728 +
7729 +  sprintf(sym_name, "$$cp_\002%x", pool->id);
7730 +  symbol_locate(pool->symbol, sym_name, pool->section, fragP->fr_fix, fragP);
7731 +  symbol_table_insert(pool->symbol);
7732 +
7733 +  for (entry = 0; entry < pool->next_free_entry; entry++)
7734 +    {
7735 +      if (pool->literals[entry].refcount > 0)
7736 +       {
7737 +         fix_new_exp(fragP, fragP->fr_fix, 4, &pool->literals[entry].exp,
7738 +                     FALSE, BFD_RELOC_AVR32_32_CPENT);
7739 +         fragP->fr_fix += 4;
7740 +       }
7741 +    }
7742 +}
7743 +
7744 +static struct avr32_relaxer avr32_default_relaxer = {
7745 +  .estimate_size       = avr32_default_estimate_size_before_relax,
7746 +  .relax_frag          = avr32_default_relax_frag,
7747 +  .convert_frag                = avr32_default_convert_frag,
7748 +};
7749 +static struct avr32_relaxer avr32_lda_relaxer = {
7750 +  .estimate_size       = avr32_lda_estimate_size_before_relax,
7751 +  .relax_frag          = avr32_lda_relax_frag,
7752 +  .convert_frag                = avr32_lda_convert_frag,
7753 +};
7754 +static struct avr32_relaxer avr32_call_relaxer = {
7755 +  .estimate_size       = avr32_call_estimate_size_before_relax,
7756 +  .relax_frag          = avr32_call_relax_frag,
7757 +  .convert_frag                = avr32_call_convert_frag,
7758 +};
7759 +static struct avr32_relaxer avr32_cpool_relaxer = {
7760 +  .estimate_size       = avr32_cpool_estimate_size_before_relax,
7761 +  .relax_frag          = avr32_cpool_relax_frag,
7762 +  .convert_frag                = avr32_cpool_convert_frag,
7763 +};
7764 +
7765 +static void s_cpool(int arg ATTRIBUTE_UNUSED)
7766 +{
7767 +  struct cpool *pool;
7768 +  unsigned int max_size;
7769 +  char *buf;
7770 +
7771 +  pool = find_cpool(now_seg, now_subseg);
7772 +  if (!pool || !pool->symbol || pool->next_free_entry == 0)
7773 +    return;
7774 +
7775 +  /* Make sure the constant pool is properly aligned */
7776 +  frag_align_code(2, 0);
7777 +  if (bfd_get_section_alignment(stdoutput, pool->section) < 2)
7778 +    bfd_set_section_alignment(stdoutput, pool->section, 2);
7779 +
7780 +  /* Assume none of the entries are discarded, and that we need the
7781 +     maximum amount of alignment.  But we're not going to allocate
7782 +     anything up front. */
7783 +  max_size = pool->next_free_entry * 4 + 2;
7784 +  frag_grow(max_size);
7785 +  buf = frag_more(0);
7786 +
7787 +  frag_now->tc_frag_data.relaxer = &avr32_cpool_relaxer;
7788 +  frag_now->tc_frag_data.pool = pool;
7789 +
7790 +  symbol_set_frag(pool->symbol, frag_now);
7791 +
7792 +  /* Assume zero initial size, allowing other relaxers to be
7793 +     optimistic about things.  */
7794 +  frag_var(rs_machine_dependent, max_size, 0,
7795 +          0, pool->symbol, 0, NULL);
7796 +
7797 +  /* Mark the pool as empty.  */
7798 +  pool->used = 1;
7799 +}
7800 +
7801 +/* The location from which a PC relative jump should be calculated,
7802 +   given a PC relative reloc.  */
7803 +
7804 +long
7805 +md_pcrel_from_section (fixS *fixP, segT sec)
7806 +{
7807 +  pr_debug("pcrel_from_section, fx_offset = %d\n", fixP->fx_offset);
7808 +
7809 +  if (fixP->fx_addsy != NULL
7810 +      && (! S_IS_DEFINED (fixP->fx_addsy)
7811 +          || S_GET_SEGMENT (fixP->fx_addsy) != sec
7812 +         || S_FORCE_RELOC(fixP->fx_addsy, 1)))
7813 +    {
7814 +      pr_debug("Unknown pcrel symbol: %s\n", S_GET_NAME(fixP->fx_addsy));
7815 +
7816 +      /* The symbol is undefined (or is defined but not in this section).
7817 +        Let the linker figure it out.  */
7818 +      return 0;
7819 +    }
7820 +
7821 +  pr_debug("pcrel from %x + %x, symbol: %s (%x)\n",
7822 +          fixP->fx_frag->fr_address, fixP->fx_where,
7823 +          fixP->fx_addsy?S_GET_NAME(fixP->fx_addsy):"(null)",
7824 +          fixP->fx_addsy?S_GET_VALUE(fixP->fx_addsy):0);
7825 +
7826 +  return ((fixP->fx_frag->fr_address + fixP->fx_where)
7827 +         & (~0UL << fixP->tc_fix_data.align));
7828 +}
7829 +
7830 +valueT
7831 +md_section_align (segT segment, valueT size)
7832 +{
7833 +  int align = bfd_get_section_alignment (stdoutput, segment);
7834 +  return ((size + (1 << align) - 1) & (-1 << align));
7835 +}
7836 +
7837 +static int syntax_matches(const struct avr32_syntax *syntax,
7838 +                         char *str)
7839 +{
7840 +  int i;
7841 +
7842 +  pr_debug("syntax %d matches `%s'?\n", syntax->id, str);
7843 +
7844 +  if (syntax->nr_operands < 0)
7845 +    {
7846 +      struct avr32_operand *op;
7847 +      int optype;
7848 +
7849 +      for (i = 0; i < (-syntax->nr_operands - 1); i++)
7850 +       {
7851 +         char *p;
7852 +         char c;
7853 +
7854 +         optype = syntax->operand[i];
7855 +         assert(optype < AVR32_NR_OPERANDS);
7856 +         op = &avr32_operand_table[optype];
7857 +
7858 +         for (p = str; *p; p++)
7859 +           if (*p == ',')
7860 +             break;
7861 +
7862 +         if (p == str)
7863 +           return 0;
7864 +
7865 +         c = *p;
7866 +         *p = 0;
7867 +
7868 +         if (!op->match(str))
7869 +           {
7870 +             *p = c;
7871 +             return 0;
7872 +           }
7873 +
7874 +         str = p;
7875 +         *p = c;
7876 +         if (c)
7877 +           str++;
7878 +       }
7879 +
7880 +      optype = syntax->operand[i];
7881 +      assert(optype < AVR32_NR_OPERANDS);
7882 +      op = &avr32_operand_table[optype];
7883 +
7884 +      if (!op->match(str))
7885 +       return 0;
7886 +      return 1;
7887 +    }
7888 +
7889 +  for (i = 0; i < syntax->nr_operands; i++)
7890 +    {
7891 +      struct avr32_operand *op;
7892 +      int optype = syntax->operand[i];
7893 +      char *p;
7894 +      char c;
7895 +
7896 +      assert(optype < AVR32_NR_OPERANDS);
7897 +      op = &avr32_operand_table[optype];
7898 +
7899 +      for (p = str; *p; p++)
7900 +       if (*p == ',')
7901 +         break;
7902 +
7903 +      if (p == str)
7904 +       return 0;
7905 +
7906 +      c = *p;
7907 +      *p = 0;
7908 +
7909 +      if (!op->match(str))
7910 +       {
7911 +         *p = c;
7912 +         return 0;
7913 +       }
7914 +
7915 +      str = p;
7916 +      *p = c;
7917 +      if (c)
7918 +       str++;
7919 +    }
7920 +
7921 +  if (*str == '\0')
7922 +    return 1;
7923 +
7924 +  if ((*str == 'e' || *str == 'E') && !str[1])
7925 +    return 1;
7926 +
7927 +  return 0;
7928 +}
7929 +
7930 +static int parse_operands(char *str)
7931 +{
7932 +  int i;
7933 +
7934 +  if (current_insn.syntax->nr_operands < 0)
7935 +    {
7936 +      int optype;
7937 +      struct avr32_operand *op;
7938 +
7939 +      for (i = 0; i < (-current_insn.syntax->nr_operands - 1); i++)
7940 +       {
7941 +         char *p;
7942 +         char c;
7943 +
7944 +         optype = current_insn.syntax->operand[i];
7945 +         op = &avr32_operand_table[optype];
7946 +
7947 +         for (p = str; *p; p++)
7948 +           if (*p == ',')
7949 +             break;
7950 +
7951 +         assert(p != str);
7952 +
7953 +         c = *p, *p = 0;
7954 +         op->parse(op, str, i);
7955 +         *p = c;
7956 +
7957 +         str = p;
7958 +         if (c) str++;
7959 +       }
7960 +
7961 +      /* give the rest of the line to the last operand */
7962 +      optype = current_insn.syntax->operand[i];
7963 +      op = &avr32_operand_table[optype];
7964 +      op->parse(op, str, i);
7965 +    }
7966 +  else
7967 +    {
7968 +      for (i = 0; i < current_insn.syntax->nr_operands; i++)
7969 +       {
7970 +         int optype = current_insn.syntax->operand[i];
7971 +         struct avr32_operand *op = &avr32_operand_table[optype];
7972 +         char *p;
7973 +         char c;
7974 +
7975 +         skip_whitespace(str);
7976 +
7977 +         for (p = str; *p; p++)
7978 +           if (*p == ',')
7979 +             break;
7980 +
7981 +         assert(p != str);
7982 +
7983 +         c = *p, *p = 0;
7984 +         op->parse(op, str, i);
7985 +         *p = c;
7986 +
7987 +         str = p;
7988 +         if (c) str++;
7989 +       }
7990 +
7991 +      if (*str == 'E' || *str == 'e')
7992 +       current_insn.force_extended = 1;
7993 +    }
7994 +
7995 +  return 0;
7996 +}
7997 +
7998 +static const char *
7999 +finish_insn(const struct avr32_opcode *opc)
8000 +{
8001 +  expressionS *exp = &current_insn.immediate;
8002 +  unsigned int i;
8003 +  int will_relax = 0;
8004 +  char *buf;
8005 +
8006 +  assert(current_insn.next_slot == opc->nr_fields);
8007 +
8008 +  pr_debug("%s:%d: finish_insn: trying opcode %d\n",
8009 +          frag_now->fr_file, frag_now->fr_line, opc->id);
8010 +
8011 +  /* Go through the relaxation stage for all instructions that can
8012 +     possibly take a symbolic immediate.  The relax code will take
8013 +     care of range checking and alignment.  */
8014 +  if (opc->var_field != -1)
8015 +    {
8016 +      int substate, largest_substate;
8017 +      symbolS *sym;
8018 +      offsetT off;
8019 +
8020 +      will_relax = 1;
8021 +      substate = largest_substate = opc_initial_substate(opc);
8022 +
8023 +      while (relax_more(largest_substate) != AVR32_RS_NONE)
8024 +       largest_substate = relax_more(largest_substate);
8025 +
8026 +      pr_debug("will relax. initial substate: %d (size %d), largest substate: %d (size %d)\n",
8027 +              substate, avr32_rs_size(substate),
8028 +              largest_substate, avr32_rs_size(largest_substate));
8029 +
8030 +      /* make sure we have enough room for the largest possible opcode */
8031 +      frag_grow(avr32_rs_size(largest_substate));
8032 +      buf = frag_more(opc->size);
8033 +
8034 +      dwarf2_emit_insn(opc->size);
8035 +
8036 +      frag_now->tc_frag_data.reloc_info = AVR32_OPINFO_NONE;
8037 +      frag_now->tc_frag_data.pcrel = current_insn.pcrel;
8038 +      frag_now->tc_frag_data.force_extended = current_insn.force_extended;
8039 +      frag_now->tc_frag_data.relaxer = &avr32_default_relaxer;
8040 +
8041 +      if (exp->X_op == O_hi)
8042 +       {
8043 +         frag_now->tc_frag_data.reloc_info = AVR32_OPINFO_HI;
8044 +         exp->X_op = exp->X_md;
8045 +       }
8046 +      else if (exp->X_op == O_lo)
8047 +       {
8048 +         frag_now->tc_frag_data.reloc_info = AVR32_OPINFO_LO;
8049 +         exp->X_op = exp->X_md;
8050 +       }
8051 +      else if (exp->X_op == O_got)
8052 +       {
8053 +         frag_now->tc_frag_data.reloc_info = AVR32_OPINFO_GOT;
8054 +         exp->X_op = O_symbol;
8055 +       }
8056 +
8057 +#if 0
8058 +      if ((opc->reloc_type == BFD_RELOC_AVR32_SUB5)
8059 +         && exp->X_op == O_subtract)
8060 +       {
8061 +         symbolS *tmp;
8062 +         tmp = exp->X_add_symbol;
8063 +         exp->X_add_symbol = exp->X_op_symbol;
8064 +         exp->X_op_symbol = tmp;
8065 +       }
8066 +#endif
8067 +
8068 +      frag_now->tc_frag_data.exp = current_insn.immediate;
8069 +
8070 +      sym = exp->X_add_symbol;
8071 +      off = exp->X_add_number;
8072 +      if (exp->X_op != O_symbol)
8073 +       {
8074 +         sym = make_expr_symbol(exp);
8075 +         off = 0;
8076 +       }
8077 +
8078 +      frag_var(rs_machine_dependent,
8079 +              avr32_rs_size(largest_substate) - opc->size,
8080 +              opc->size,
8081 +              substate, sym, off, buf);
8082 +    }
8083 +  else
8084 +    {
8085 +      assert(avr32_rs_size(opc_initial_substate(opc)) == 0);
8086 +
8087 +      /* Make sure we always have room for another whole word, as the ifield
8088 +        inserters can only write words. */
8089 +      frag_grow(4);
8090 +      buf = frag_more(opc->size);
8091 +      dwarf2_emit_insn(opc->size);
8092 +    }
8093 +
8094 +  assert(!(opc->value & ~opc->mask));
8095 +
8096 +  pr_debug("inserting opcode: 0x%lx\n", opc->value);
8097 +  bfd_putb32(opc->value, buf);
8098 +
8099 +  for (i = 0; i < opc->nr_fields; i++)
8100 +    {
8101 +      const struct avr32_ifield *f = opc->fields[i];
8102 +      const struct avr32_ifield_data *fd = &current_insn.field_value[i];
8103 +
8104 +      pr_debug("inserting field: 0x%lx & 0x%lx\n",
8105 +              fd->value >> fd->align_order, f->mask);
8106 +
8107 +      f->insert(f, buf, fd->value >> fd->align_order);
8108 +    }
8109 +
8110 +  assert(will_relax || !current_insn.immediate.X_add_symbol);
8111 +  return NULL;
8112 +}
8113 +
8114 +static const char *
8115 +finish_alias(const struct avr32_alias *alias)
8116 +{
8117 +  const struct avr32_opcode *opc;
8118 +  struct {
8119 +    unsigned long value;
8120 +    unsigned long align;
8121 +  } mapped_operand[AVR32_MAX_OPERANDS];
8122 +  unsigned int i;
8123 +
8124 +  opc = alias->opc;
8125 +
8126 +  /* Remap the operands from the alias to the real opcode */
8127 +  for (i = 0; i < opc->nr_fields; i++)
8128 +    {
8129 +      if (alias->operand_map[i].is_opindex)
8130 +       {
8131 +         struct avr32_ifield_data *fd;
8132 +         fd = &current_insn.field_value[alias->operand_map[i].value];
8133 +         mapped_operand[i].value = fd->value;
8134 +         mapped_operand[i].align = fd->align_order;
8135 +       }
8136 +      else
8137 +       {
8138 +         mapped_operand[i].value = alias->operand_map[i].value;
8139 +         mapped_operand[i].align = 0;
8140 +       }
8141 +    }
8142 +
8143 +  for (i = 0; i < opc->nr_fields; i++)
8144 +    {
8145 +      current_insn.field_value[i].value = mapped_operand[i].value;
8146 +      if (opc->id == AVR32_OPC_COP)
8147 +       current_insn.field_value[i].align_order = 0;
8148 +      else
8149 +       current_insn.field_value[i].align_order
8150 +         = mapped_operand[i].align;
8151 +    }
8152 +
8153 +  current_insn.next_slot = opc->nr_fields;
8154 +
8155 +  return finish_insn(opc);
8156 +}
8157 +
8158 +static const char *
8159 +finish_lda(const struct avr32_syntax *syntax ATTRIBUTE_UNUSED)
8160 +{
8161 +  expressionS *exp = &current_insn.immediate;
8162 +  relax_substateT initial_subtype;
8163 +  symbolS *sym;
8164 +  offsetT off;
8165 +  int initial_size, max_size;
8166 +  char *buf;
8167 +
8168 +  initial_size = LDA_INITIAL_SIZE;
8169 +
8170 +  if (avr32_pic)
8171 +    {
8172 +      initial_subtype = LDA_SUBTYPE_SUB;
8173 +      if (linkrelax)
8174 +       max_size = 8;
8175 +      else
8176 +       max_size = 4;
8177 +    }
8178 +  else
8179 +    {
8180 +      initial_subtype = LDA_SUBTYPE_MOV1;
8181 +      max_size = 4;
8182 +    }
8183 +
8184 +  frag_grow(max_size);
8185 +  buf = frag_more(initial_size);
8186 +  dwarf2_emit_insn(initial_size);
8187 +
8188 +  if (exp->X_op == O_symbol)
8189 +    {
8190 +      sym = exp->X_add_symbol;
8191 +      off = exp->X_add_number;
8192 +    }
8193 +  else
8194 +    {
8195 +      sym = make_expr_symbol(exp);
8196 +      off = 0;
8197 +    }
8198 +
8199 +  frag_now->tc_frag_data.reloc_info = current_insn.field_value[0].value;
8200 +  frag_now->tc_frag_data.relaxer = &avr32_lda_relaxer;
8201 +
8202 +  if (!avr32_pic)
8203 +    {
8204 +      /* The relaxer will bump the refcount if necessary */
8205 +      frag_now->tc_frag_data.pool
8206 +       = add_to_cpool(exp, &frag_now->tc_frag_data.pool_entry, 0);
8207 +    }
8208 +
8209 +  frag_var(rs_machine_dependent, max_size - initial_size,
8210 +          initial_size, initial_subtype, sym, off, buf);
8211 +
8212 +  return NULL;
8213 +}
8214 +
8215 +static const char *
8216 +finish_call(const struct avr32_syntax *syntax ATTRIBUTE_UNUSED)
8217 +{
8218 +  expressionS *exp = &current_insn.immediate;
8219 +  symbolS *sym;
8220 +  offsetT off;
8221 +  int initial_size, max_size;
8222 +  char *buf;
8223 +
8224 +  initial_size = CALL_INITIAL_SIZE;
8225 +
8226 +  if (avr32_pic)
8227 +    {
8228 +      if (linkrelax)
8229 +       max_size = 10;
8230 +      else
8231 +       max_size = 4;
8232 +    }
8233 +  else
8234 +    max_size = 4;
8235 +
8236 +  frag_grow(max_size);
8237 +  buf = frag_more(initial_size);
8238 +  dwarf2_emit_insn(initial_size);
8239 +
8240 +  frag_now->tc_frag_data.relaxer = &avr32_call_relaxer;
8241 +
8242 +  if (exp->X_op == O_symbol)
8243 +    {
8244 +      sym = exp->X_add_symbol;
8245 +      off = exp->X_add_number;
8246 +    }
8247 +  else
8248 +    {
8249 +      sym = make_expr_symbol(exp);
8250 +      off = 0;
8251 +    }
8252 +
8253 +  if (!avr32_pic)
8254 +    {
8255 +      /* The relaxer will bump the refcount if necessary */
8256 +      frag_now->tc_frag_data.pool
8257 +       = add_to_cpool(exp, &frag_now->tc_frag_data.pool_entry, 0);
8258 +    }
8259 +
8260 +  frag_var(rs_machine_dependent, max_size - initial_size,
8261 +          initial_size, CALL_SUBTYPE_RCALL1, sym, off, buf);
8262 +
8263 +  return NULL;
8264 +}
8265 +
8266 +void
8267 +md_begin (void)
8268 +{
8269 +  unsigned long flags = 0;
8270 +  int i;
8271 +
8272 +  avr32_mnemonic_htab = hash_new();
8273 +
8274 +  if (!avr32_mnemonic_htab)
8275 +    as_fatal(_("virtual memory exhausted"));
8276 +
8277 +  for (i = 0; i < AVR32_NR_MNEMONICS; i++)
8278 +    {
8279 +      hash_insert(avr32_mnemonic_htab, avr32_mnemonic_table[i].name,
8280 +                 (void *)&avr32_mnemonic_table[i]);
8281 +    }
8282 +
8283 +  if (linkrelax)
8284 +    flags |= EF_AVR32_LINKRELAX;
8285 +  if (avr32_pic)
8286 +    flags |= EF_AVR32_PIC;
8287 +
8288 +  bfd_set_private_flags(stdoutput, flags);
8289 +
8290 +#ifdef OPC_CONSISTENCY_CHECK
8291 +  if (sizeof(avr32_operand_table)/sizeof(avr32_operand_table[0])
8292 +      < AVR32_NR_OPERANDS)
8293 +    as_fatal(_("operand table is incomplete"));
8294 +
8295 +  for (i = 0; i < AVR32_NR_OPERANDS; i++)
8296 +    if (avr32_operand_table[i].id != i)
8297 +      as_fatal(_("operand table inconsistency found at index %d\n"), i);
8298 +  pr_debug("%d operands verified\n", AVR32_NR_OPERANDS);
8299 +
8300 +  for (i = 0; i < AVR32_NR_IFIELDS; i++)
8301 +    if (avr32_ifield_table[i].id != i)
8302 +      as_fatal(_("ifield table inconsistency found at index %d\n"), i);
8303 +  pr_debug("%d instruction fields verified\n", AVR32_NR_IFIELDS);
8304 +
8305 +  for (i = 0; i < AVR32_NR_OPCODES; i++)
8306 +    {
8307 +      if (avr32_opc_table[i].id != i)
8308 +       as_fatal(_("opcode table inconsistency found at index %d\n"), i);
8309 +      if ((avr32_opc_table[i].var_field == -1
8310 +          && avr32_relax_table[i].length != 0)
8311 +         || (avr32_opc_table[i].var_field != -1
8312 +             && avr32_relax_table[i].length == 0))
8313 +       as_fatal(_("relax table inconsistency found at index %d\n"), i);
8314 +    }
8315 +  pr_debug("%d opcodes verified\n", AVR32_NR_OPCODES);
8316 +
8317 +  for (i = 0; i < AVR32_NR_SYNTAX; i++)
8318 +    if (avr32_syntax_table[i].id != i)
8319 +      as_fatal(_("syntax table inconsistency found at index %d\n"), i);
8320 +  pr_debug("%d syntax variants verified\n", AVR32_NR_SYNTAX);
8321 +
8322 +  for (i = 0; i < AVR32_NR_ALIAS; i++)
8323 +    if (avr32_alias_table[i].id != i)
8324 +      as_fatal(_("alias table inconsistency found at index %d\n"), i);
8325 +  pr_debug("%d aliases verified\n", AVR32_NR_ALIAS);
8326 +
8327 +  for (i = 0; i < AVR32_NR_MNEMONICS; i++)
8328 +    if (avr32_mnemonic_table[i].id != i)
8329 +      as_fatal(_("mnemonic table inconsistency found at index %d\n"), i);
8330 +  pr_debug("%d mnemonics verified\n", AVR32_NR_MNEMONICS);
8331 +#endif
8332 +}
8333 +
8334 +void
8335 +md_assemble (char *str)
8336 +{
8337 +  struct avr32_mnemonic *mnemonic;
8338 +  char *p, c;
8339 +
8340 +  memset(&current_insn, 0, sizeof(current_insn));
8341 +  current_insn.immediate.X_op = O_constant;
8342 +
8343 +  skip_whitespace(str);
8344 +  for (p = str; *p; p++)
8345 +    if (*p == ' ')
8346 +      break;
8347 +  c = *p;
8348 +  *p = 0;
8349 +
8350 +  mnemonic = hash_find(avr32_mnemonic_htab, str);
8351 +  *p = c;
8352 +  if (c) p++;
8353 +
8354 +  if (mnemonic)
8355 +    {
8356 +      const struct avr32_syntax *syntax;
8357 +
8358 +      for (syntax = mnemonic->syntax; syntax; syntax = syntax->next)
8359 +       {
8360 +         const char *errmsg = NULL;
8361 +
8362 +         if (syntax_matches(syntax, p))
8363 +           {
8364 +             if (!(syntax->isa_flags & avr32_arch->isa_flags))
8365 +               {
8366 +                 as_bad(_("Selected architecture `%s'  does not support `%s'"),
8367 +                        avr32_arch->name, str);
8368 +                 return;
8369 +               }
8370 +
8371 +             current_insn.syntax = syntax;
8372 +             parse_operands(p);
8373 +
8374 +             switch (syntax->type)
8375 +               {
8376 +               case AVR32_PARSER_NORMAL:
8377 +                 errmsg = finish_insn(syntax->u.opc);
8378 +                 break;
8379 +               case AVR32_PARSER_ALIAS:
8380 +                 errmsg = finish_alias(syntax->u.alias);
8381 +                 break;
8382 +               case AVR32_PARSER_LDA:
8383 +                 errmsg = finish_lda(syntax);
8384 +                 break;
8385 +               case AVR32_PARSER_CALL:
8386 +                 errmsg = finish_call(syntax);
8387 +                 break;
8388 +               default:
8389 +                 BAD_CASE(syntax->type);
8390 +                 break;
8391 +               }
8392 +
8393 +             if (errmsg)
8394 +               as_bad("%s in `%s'", errmsg, str);
8395 +
8396 +             return;
8397 +           }
8398 +       }
8399 +
8400 +      as_bad(_("unrecognized form of instruction: `%s'"), str);
8401 +    }
8402 +  else
8403 +    as_bad(_("unrecognized instruction `%s'"), str);
8404 +}
8405 +
8406 +void avr32_cleanup(void)
8407 +{
8408 +  struct cpool *pool;
8409 +
8410 +  /* Emit any constant pools that haven't been explicitly flushed with
8411 +     a .cpool directive. */
8412 +  for (pool = cpool_list; pool; pool = pool->next)
8413 +    {
8414 +      subseg_set(pool->section, pool->sub_section);
8415 +      s_cpool(0);
8416 +    }
8417 +}
8418 +
8419 +/* Handle any PIC-related operands in data allocation pseudo-ops */
8420 +void
8421 +avr32_cons_fix_new (fragS *frag, int off, int size, expressionS *exp)
8422 +{
8423 +  bfd_reloc_code_real_type r_type = BFD_RELOC_UNUSED;
8424 +  int pcrel = 0;
8425 +
8426 +  pr_debug("%s:%u: cons_fix_new, add_sym: %s, op_sym: %s, op: %d, add_num: %d\n",
8427 +          frag->fr_file, frag->fr_line,
8428 +          exp->X_add_symbol?S_GET_NAME(exp->X_add_symbol):"(none)",
8429 +          exp->X_op_symbol?S_GET_NAME(exp->X_op_symbol):"(none)",
8430 +          exp->X_op, exp->X_add_number);
8431 +
8432 +  if (exp->X_op == O_subtract && exp->X_op_symbol)
8433 +    {
8434 +      if (exp->X_op_symbol == GOT_symbol)
8435 +       {
8436 +         if (size != 4)
8437 +           goto bad_size;
8438 +         r_type = BFD_RELOC_AVR32_GOTPC;
8439 +         exp->X_op = O_symbol;
8440 +         exp->X_op_symbol = NULL;
8441 +       }
8442 +    }
8443 +  else if (exp->X_op == O_got)
8444 +    {
8445 +      switch (size)
8446 +       {
8447 +       case 1:
8448 +         r_type = BFD_RELOC_AVR32_GOT8;
8449 +         break;
8450 +       case 2:
8451 +         r_type = BFD_RELOC_AVR32_GOT16;
8452 +         break;
8453 +       case 4:
8454 +         r_type = BFD_RELOC_AVR32_GOT32;
8455 +         break;
8456 +       default:
8457 +         goto bad_size;
8458 +       }
8459 +
8460 +      exp->X_op = O_symbol;
8461 +    }
8462 +
8463 +  if (r_type == BFD_RELOC_UNUSED)
8464 +    switch (size)
8465 +      {
8466 +      case 1:
8467 +       r_type = BFD_RELOC_8;
8468 +       break;
8469 +      case 2:
8470 +       r_type = BFD_RELOC_16;
8471 +       break;
8472 +      case 4:
8473 +       r_type = BFD_RELOC_32;
8474 +       break;
8475 +      default:
8476 +       goto bad_size;
8477 +      }
8478 +  else if (size != 4)
8479 +    {
8480 +    bad_size:
8481 +      as_bad(_("unsupported BFD relocation size %u"), size);
8482 +      r_type = BFD_RELOC_UNUSED;
8483 +    }
8484 +
8485 +  fix_new_exp (frag, off, size, exp, pcrel, r_type);
8486 +}
8487 +
8488 +static void
8489 +avr32_frob_section(bfd *abfd ATTRIBUTE_UNUSED, segT sec,
8490 +                  void *ignore ATTRIBUTE_UNUSED)
8491 +{
8492 +  segment_info_type *seginfo;
8493 +  fixS *fix;
8494 +
8495 +  seginfo = seg_info(sec);
8496 +  if (!seginfo)
8497 +    return;
8498 +
8499 +  for (fix = seginfo->fix_root; fix; fix = fix->fx_next)
8500 +    {
8501 +      if (fix->fx_done)
8502 +       continue;
8503 +
8504 +      if (fix->fx_r_type == BFD_RELOC_AVR32_SUB5
8505 +         && fix->fx_addsy && fix->fx_subsy)
8506 +       {
8507 +         if (S_GET_SEGMENT(fix->fx_addsy) != S_GET_SEGMENT(fix->fx_subsy)
8508 +             || linkrelax)
8509 +           {
8510 +             symbolS *tmp;
8511 +#ifdef DEBUG
8512 +             fprintf(stderr, "Swapping symbols in fixup:\n");
8513 +             print_fixup(fix);
8514 +#endif
8515 +             tmp = fix->fx_addsy;
8516 +             fix->fx_addsy = fix->fx_subsy;
8517 +             fix->fx_subsy = tmp;
8518 +             fix->fx_offset = -fix->fx_offset;
8519 +           }
8520 +       }
8521 +    }
8522 +}
8523 +
8524 +/* We need to look for SUB5 instructions with expressions that will be
8525 +   made PC-relative and switch fx_addsy with fx_subsy.  This has to be
8526 +   done before adjustment or the wrong symbol might be adjusted.
8527 +
8528 +   This applies to fixups that are a result of expressions like -(sym
8529 +   - .) and that will make it all the way to md_apply_fix3().  LDA
8530 +   does the right thing in convert_frag, so we must not convert
8531 +   those. */
8532 +void
8533 +avr32_frob_file(void)
8534 +{
8535 +  /* if (1 || !linkrelax)
8536 +     return; */
8537 +
8538 +  bfd_map_over_sections(stdoutput, avr32_frob_section, NULL);
8539 +}
8540 +
8541 +static bfd_boolean
8542 +convert_to_diff_reloc(fixS *fixP)
8543 +{
8544 +  switch (fixP->fx_r_type)
8545 +    {
8546 +    case BFD_RELOC_32:
8547 +      fixP->fx_r_type = BFD_RELOC_AVR32_DIFF32;
8548 +      break;
8549 +    case BFD_RELOC_16:
8550 +      fixP->fx_r_type = BFD_RELOC_AVR32_DIFF16;
8551 +      break;
8552 +    case BFD_RELOC_8:
8553 +      fixP->fx_r_type = BFD_RELOC_AVR32_DIFF8;
8554 +      break;
8555 +    default:
8556 +      return FALSE;
8557 +    }
8558 +
8559 +  return TRUE;
8560 +}
8561 +
8562 +/* Simplify a fixup.  If possible, the fixup is reduced to a single
8563 +   constant which is written to the output file.  Otherwise, a
8564 +   relocation is generated so that the linker can take care of the
8565 +   rest.
8566 +
8567 +   ELF relocations have certain constraints: They can only take a
8568 +   single symbol and a single addend.  This means that for difference
8569 +   expressions, we _must_ get rid of the fx_subsy symbol somehow.
8570 +
8571 +   The difference between two labels in the same section can be
8572 +   calculated directly unless 'linkrelax' is set, or a relocation is
8573 +   forced.  If so, we must emit a R_AVR32_DIFFxx relocation.  If there
8574 +   are addends involved at this point, we must be especially careful
8575 +   as the relocation must point exactly to the symbol being
8576 +   subtracted.
8577 +
8578 +   When subtracting a symbol defined in the same section as the fixup,
8579 +   we might be able to convert it to a PC-relative expression, unless
8580 +   linkrelax is set. If this is the case, there's no way we can make
8581 +   sure that the difference between the fixup and fx_subsy stays
8582 +   constant.  So for now, we're just going to disallow that.
8583 +   */
8584 +void
8585 +avr32_process_fixup(fixS *fixP, segT this_segment)
8586 +{
8587 +  segT add_symbol_segment = absolute_section;
8588 +  segT sub_symbol_segment = absolute_section;
8589 +  symbolS *fx_addsy, *fx_subsy;
8590 +  offsetT value = 0, fx_offset;
8591 +  bfd_boolean apply = FALSE;
8592 +
8593 +  assert(this_segment != absolute_section);
8594 +
8595 +  if (fixP->fx_r_type >= BFD_RELOC_UNUSED)
8596 +    {
8597 +      as_bad_where(fixP->fx_file, fixP->fx_line,
8598 +                  _("Bad relocation type %d\n"), fixP->fx_r_type);
8599 +      return;
8600 +    }
8601 +
8602 +  /* BFD_RELOC_AVR32_SUB5 fixups have been swapped by avr32_frob_section() */
8603 +  fx_addsy = fixP->fx_addsy;
8604 +  fx_subsy = fixP->fx_subsy;
8605 +  fx_offset = fixP->fx_offset;
8606 +
8607 +  if (fx_addsy)
8608 +    add_symbol_segment = S_GET_SEGMENT(fx_addsy);
8609 +
8610 +  if (fx_subsy)
8611 +    {
8612 +      resolve_symbol_value(fx_subsy);
8613 +      sub_symbol_segment = S_GET_SEGMENT(fx_subsy);
8614 +
8615 +      if (sub_symbol_segment == this_segment
8616 +         && (!linkrelax
8617 +             || S_GET_VALUE(fx_subsy) == (fixP->fx_frag->fr_address
8618 +                                          + fixP->fx_where)))
8619 +       {
8620 +         fixP->fx_pcrel = TRUE;
8621 +         fx_offset += (fixP->fx_frag->fr_address + fixP->fx_where
8622 +                       - S_GET_VALUE(fx_subsy));
8623 +         fx_subsy = NULL;
8624 +       }
8625 +      else if (sub_symbol_segment == absolute_section)
8626 +       {
8627 +         /* The symbol is really a constant.  */
8628 +         fx_offset -= S_GET_VALUE(fx_subsy);
8629 +         fx_subsy = NULL;
8630 +       }
8631 +      else if (SEG_NORMAL(add_symbol_segment)
8632 +              && sub_symbol_segment == add_symbol_segment
8633 +              && (!linkrelax || convert_to_diff_reloc(fixP)))
8634 +       {
8635 +         /* Difference between two labels in the same section.  */
8636 +         if (linkrelax)
8637 +           {
8638 +             /* convert_to_diff() has ensured that the reloc type is
8639 +                either DIFF32, DIFF16 or DIFF8.  */
8640 +             value = (S_GET_VALUE(fx_addsy) + fixP->fx_offset
8641 +                      - S_GET_VALUE(fx_subsy));
8642 +
8643 +             /* Try to convert it to a section symbol if possible  */
8644 +             if (!S_FORCE_RELOC(fx_addsy, 1)
8645 +                 && !(sub_symbol_segment->flags & SEC_THREAD_LOCAL))
8646 +               {
8647 +                 fx_offset = S_GET_VALUE(fx_subsy);
8648 +                 fx_addsy = section_symbol(sub_symbol_segment);
8649 +               }
8650 +             else
8651 +               {
8652 +                 fx_addsy = fx_subsy;
8653 +                 fx_offset = 0;
8654 +               }
8655 +
8656 +             fx_subsy = NULL;
8657 +             apply = TRUE;
8658 +           }
8659 +         else
8660 +           {
8661 +             fx_offset += S_GET_VALUE(fx_addsy);
8662 +             fx_offset -= S_GET_VALUE(fx_subsy);
8663 +             fx_addsy = NULL;
8664 +             fx_subsy = NULL;
8665 +           }
8666 +       }
8667 +      else
8668 +       {
8669 +         as_bad_where(fixP->fx_file, fixP->fx_line,
8670 +                      _("can't resolve `%s' {%s section} - `%s' {%s section}"),
8671 +                      fx_addsy ? S_GET_NAME (fx_addsy) : "0",
8672 +                      segment_name (add_symbol_segment),
8673 +                      S_GET_NAME (fx_subsy),
8674 +                      segment_name (sub_symbol_segment));
8675 +         return;
8676 +       }
8677 +    }
8678 +
8679 +  if (fx_addsy && !TC_FORCE_RELOCATION(fixP))
8680 +    {
8681 +      if (add_symbol_segment == this_segment
8682 +         && fixP->fx_pcrel)
8683 +       {
8684 +         value += S_GET_VALUE(fx_addsy);
8685 +         value -= md_pcrel_from_section(fixP, this_segment);
8686 +         fx_addsy = NULL;
8687 +         fixP->fx_pcrel = FALSE;
8688 +       }
8689 +      else if (add_symbol_segment == absolute_section)
8690 +       {
8691 +         fx_offset += S_GET_VALUE(fixP->fx_addsy);
8692 +         fx_addsy = NULL;
8693 +       }
8694 +    }
8695 +
8696 +  if (!fx_addsy)
8697 +    fixP->fx_done = TRUE;
8698 +
8699 +  if (fixP->fx_pcrel)
8700 +    {
8701 +      if (fx_addsy != NULL
8702 +         && S_IS_DEFINED(fx_addsy)
8703 +         && S_GET_SEGMENT(fx_addsy) != this_segment)
8704 +       value += md_pcrel_from_section(fixP, this_segment);
8705 +
8706 +      switch (fixP->fx_r_type)
8707 +       {
8708 +       case BFD_RELOC_32:
8709 +         fixP->fx_r_type = BFD_RELOC_32_PCREL;
8710 +         break;
8711 +       case BFD_RELOC_16:
8712 +         fixP->fx_r_type = BFD_RELOC_16_PCREL;
8713 +         break;
8714 +       case BFD_RELOC_8:
8715 +         fixP->fx_r_type = BFD_RELOC_8_PCREL;
8716 +         break;
8717 +       case BFD_RELOC_AVR32_SUB5:
8718 +         fixP->fx_r_type = BFD_RELOC_AVR32_16N_PCREL;
8719 +         break;
8720 +       case BFD_RELOC_AVR32_16S:
8721 +         fixP->fx_r_type = BFD_RELOC_AVR32_16B_PCREL;
8722 +         break;
8723 +       case BFD_RELOC_AVR32_14UW:
8724 +         fixP->fx_r_type = BFD_RELOC_AVR32_14UW_PCREL;
8725 +         break;
8726 +       case BFD_RELOC_AVR32_10UW:
8727 +         fixP->fx_r_type = BFD_RELOC_AVR32_10UW_PCREL;
8728 +         break;
8729 +       default:
8730 +         /* Should have been taken care of already */
8731 +         break;
8732 +       }
8733 +    }
8734 +
8735 +  if (fixP->fx_done || apply)
8736 +    {
8737 +      const struct avr32_ifield *ifield;
8738 +      char *buf = fixP->fx_where + fixP->fx_frag->fr_literal;
8739 +
8740 +      if (fixP->fx_done)
8741 +       value += fx_offset;
8742 +
8743 +      /* For hosts with longs bigger than 32-bits make sure that the top
8744 +         bits of a 32-bit negative value read in by the parser are set,
8745 +         so that the correct comparisons are made.  */
8746 +      if (value & 0x80000000)
8747 +        value |= (-1L << 31);
8748 +
8749 +      switch (fixP->fx_r_type)
8750 +       {
8751 +       case BFD_RELOC_32:
8752 +       case BFD_RELOC_16:
8753 +       case BFD_RELOC_8:
8754 +       case BFD_RELOC_AVR32_DIFF32:
8755 +       case BFD_RELOC_AVR32_DIFF16:
8756 +       case BFD_RELOC_AVR32_DIFF8:
8757 +         md_number_to_chars(buf, value, fixP->fx_size);
8758 +         break;
8759 +       case BFD_RELOC_HI16:
8760 +         value >>= 16;
8761 +       case BFD_RELOC_LO16:
8762 +         value &= 0xffff;
8763 +         md_number_to_chars(buf + 2, value, 2);
8764 +         break;
8765 +       case BFD_RELOC_AVR32_16N_PCREL:
8766 +         value = -value;
8767 +         /* fall through */
8768 +       case BFD_RELOC_AVR32_22H_PCREL:
8769 +       case BFD_RELOC_AVR32_18W_PCREL:
8770 +       case BFD_RELOC_AVR32_16B_PCREL:
8771 +       case BFD_RELOC_AVR32_11H_PCREL:
8772 +       case BFD_RELOC_AVR32_9H_PCREL:
8773 +       case BFD_RELOC_AVR32_9UW_PCREL:
8774 +       case BFD_RELOC_AVR32_3U:
8775 +       case BFD_RELOC_AVR32_4UH:
8776 +       case BFD_RELOC_AVR32_6UW:
8777 +       case BFD_RELOC_AVR32_6S:
8778 +       case BFD_RELOC_AVR32_7UW:
8779 +       case BFD_RELOC_AVR32_8S_EXT:
8780 +       case BFD_RELOC_AVR32_8S:
8781 +       case BFD_RELOC_AVR32_10UW:
8782 +       case BFD_RELOC_AVR32_10SW:
8783 +       case BFD_RELOC_AVR32_STHH_W:
8784 +       case BFD_RELOC_AVR32_14UW:
8785 +       case BFD_RELOC_AVR32_16S:
8786 +       case BFD_RELOC_AVR32_16U:
8787 +       case BFD_RELOC_AVR32_21S:
8788 +       case BFD_RELOC_AVR32_SUB5:
8789 +       case BFD_RELOC_AVR32_CPCALL:
8790 +       case BFD_RELOC_AVR32_16_CP:
8791 +       case BFD_RELOC_AVR32_9W_CP:
8792 +       case BFD_RELOC_AVR32_15S:
8793 +         ifield = fixP->tc_fix_data.ifield;
8794 +         pr_debug("insert field: %ld <= %ld <= %ld (align %u)\n",
8795 +                  fixP->tc_fix_data.min, value, fixP->tc_fix_data.max,
8796 +                  fixP->tc_fix_data.align);
8797 +         if (value < fixP->tc_fix_data.min || value > fixP->tc_fix_data.max)
8798 +           as_bad_where(fixP->fx_file, fixP->fx_line,
8799 +                        _("operand out of range (%ld not between %ld and %ld)"),
8800 +                        value, fixP->tc_fix_data.min, fixP->tc_fix_data.max);
8801 +         if (value & ((1 << fixP->tc_fix_data.align) - 1))
8802 +           as_bad_where(fixP->fx_file, fixP->fx_line,
8803 +                        _("misaligned operand (required alignment: %d)"),
8804 +                        1 << fixP->tc_fix_data.align);
8805 +         ifield->insert(ifield, buf, value >> fixP->tc_fix_data.align);
8806 +         break;
8807 +       case BFD_RELOC_AVR32_ALIGN:
8808 +         /* Nothing to do */
8809 +         fixP->fx_done = FALSE;
8810 +         break;
8811 +       default:
8812 +         as_fatal("reloc type %s not handled\n",
8813 +                  bfd_get_reloc_code_name(fixP->fx_r_type));
8814 +       }
8815 +    }
8816 +
8817 +  fixP->fx_addsy = fx_addsy;
8818 +  fixP->fx_subsy = fx_subsy;
8819 +  fixP->fx_offset = fx_offset;
8820 +
8821 +  if (!fixP->fx_done)
8822 +    {
8823 +      if (!fixP->fx_addsy)
8824 +       fixP->fx_addsy = abs_section_sym;
8825 +
8826 +      symbol_mark_used_in_reloc(fixP->fx_addsy);
8827 +      if (fixP->fx_subsy)
8828 +       abort();
8829 +    }
8830 +}
8831 +
8832 +#if 0
8833 +void
8834 +md_apply_fix3 (fixS *fixP, valueT *valP, segT seg)
8835 +{
8836 +  const struct avr32_ifield *ifield;
8837 +  offsetT      value = *valP;
8838 +  char         *buf = fixP->fx_where + fixP->fx_frag->fr_literal;
8839 +  bfd_boolean  apply;
8840 +
8841 +  pr_debug("%s:%u: apply_fix3: r_type=%d value=%lx offset=%lx\n",
8842 +          fixP->fx_file, fixP->fx_line, fixP->fx_r_type, *valP,
8843 +          fixP->fx_offset);
8844 +
8845 +  if (fixP->fx_r_type >= BFD_RELOC_UNUSED)
8846 +    {
8847 +      as_bad_where(fixP->fx_file, fixP->fx_line,
8848 +                  _("Bad relocation type %d\n"), fixP->fx_r_type);
8849 +      return;
8850 +    }
8851 +
8852 +  if (!fixP->fx_addsy && !fixP->fx_subsy)
8853 +    fixP->fx_done = 1;
8854 +
8855 +  if (fixP->fx_pcrel)
8856 +    {
8857 +      if (fixP->fx_addsy != NULL
8858 +         && S_IS_DEFINED(fixP->fx_addsy)
8859 +         && S_GET_SEGMENT(fixP->fx_addsy) != seg)
8860 +       value += md_pcrel_from_section(fixP, seg);
8861 +
8862 +      switch (fixP->fx_r_type)
8863 +       {
8864 +       case BFD_RELOC_32:
8865 +         fixP->fx_r_type = BFD_RELOC_32_PCREL;
8866 +         break;
8867 +       case BFD_RELOC_16:
8868 +       case BFD_RELOC_8:
8869 +         as_bad_where (fixP->fx_file, fixP->fx_line,
8870 +                       _("8- and 16-bit PC-relative relocations not supported"));
8871 +         break;
8872 +       case BFD_RELOC_AVR32_SUB5:
8873 +         fixP->fx_r_type = BFD_RELOC_AVR32_PCREL_SUB5;
8874 +         break;
8875 +       case BFD_RELOC_AVR32_16S:
8876 +         fixP->fx_r_type = BFD_RELOC_AVR32_16_PCREL;
8877 +         break;
8878 +       default:
8879 +         /* Should have been taken care of already */
8880 +         break;
8881 +       }
8882 +    }
8883 +
8884 +  if (fixP->fx_r_type == BFD_RELOC_32
8885 +      && fixP->fx_subsy)
8886 +    {
8887 +      fixP->fx_r_type = BFD_RELOC_AVR32_DIFF32;
8888 +
8889 +      /* Offsets are only allowed if it's a result of adjusting a
8890 +        local symbol into a section-relative offset.
8891 +        tc_fix_adjustable() should prevent any adjustment if there
8892 +        was an offset involved before.  */
8893 +      if (fixP->fx_offset && !symbol_section_p(fixP->fx_addsy))
8894 +       as_bad_where(fixP->fx_file, fixP->fx_line,
8895 +                    _("cannot represent symbol difference with an offset"));
8896 +
8897 +      value = (S_GET_VALUE(fixP->fx_addsy) + fixP->fx_offset
8898 +              - S_GET_VALUE(fixP->fx_subsy));
8899 +
8900 +      /* The difference before any relaxing takes place is written
8901 +        out, and the DIFF32 reloc identifies the address of the first
8902 +        symbol (i.e. the on that's subtracted.)  */
8903 +      *valP = value;
8904 +      fixP->fx_offset -= value;
8905 +      fixP->fx_subsy = NULL;
8906 +
8907 +      md_number_to_chars(buf, value, fixP->fx_size);
8908 +    }
8909 +
8910 +  if (fixP->fx_done)
8911 +    {
8912 +      switch (fixP->fx_r_type)
8913 +       {
8914 +       case BFD_RELOC_8:
8915 +       case BFD_RELOC_16:
8916 +       case BFD_RELOC_32:
8917 +         md_number_to_chars(buf, value, fixP->fx_size);
8918 +         break;
8919 +       case BFD_RELOC_HI16:
8920 +         value >>= 16;
8921 +       case BFD_RELOC_LO16:
8922 +         value &= 0xffff;
8923 +         *valP = value;
8924 +         md_number_to_chars(buf + 2, value, 2);
8925 +         break;
8926 +       case BFD_RELOC_AVR32_PCREL_SUB5:
8927 +         value = -value;
8928 +         /* fall through */
8929 +       case BFD_RELOC_AVR32_9_PCREL:
8930 +       case BFD_RELOC_AVR32_11_PCREL:
8931 +       case BFD_RELOC_AVR32_16_PCREL:
8932 +       case BFD_RELOC_AVR32_18_PCREL:
8933 +       case BFD_RELOC_AVR32_22_PCREL:
8934 +       case BFD_RELOC_AVR32_3U:
8935 +       case BFD_RELOC_AVR32_4UH:
8936 +       case BFD_RELOC_AVR32_6UW:
8937 +       case BFD_RELOC_AVR32_6S:
8938 +       case BFD_RELOC_AVR32_7UW:
8939 +       case BFD_RELOC_AVR32_8S:
8940 +       case BFD_RELOC_AVR32_10UW:
8941 +       case BFD_RELOC_AVR32_10SW:
8942 +       case BFD_RELOC_AVR32_14UW:
8943 +       case BFD_RELOC_AVR32_16S:
8944 +       case BFD_RELOC_AVR32_16U:
8945 +       case BFD_RELOC_AVR32_21S:
8946 +       case BFD_RELOC_AVR32_BRC1:
8947 +       case BFD_RELOC_AVR32_SUB5:
8948 +       case BFD_RELOC_AVR32_CPCALL:
8949 +       case BFD_RELOC_AVR32_16_CP:
8950 +       case BFD_RELOC_AVR32_9_CP:
8951 +       case BFD_RELOC_AVR32_15S:
8952 +         ifield = fixP->tc_fix_data.ifield;
8953 +         pr_debug("insert field: %ld <= %ld <= %ld (align %u)\n",
8954 +                  fixP->tc_fix_data.min, value, fixP->tc_fix_data.max,
8955 +                  fixP->tc_fix_data.align);
8956 +         if (value < fixP->tc_fix_data.min || value > fixP->tc_fix_data.max)
8957 +           as_bad_where(fixP->fx_file, fixP->fx_line,
8958 +                        _("operand out of range (%ld not between %ld and %ld)"),
8959 +                        value, fixP->tc_fix_data.min, fixP->tc_fix_data.max);
8960 +         if (value & ((1 << fixP->tc_fix_data.align) - 1))
8961 +           as_bad_where(fixP->fx_file, fixP->fx_line,
8962 +                        _("misaligned operand (required alignment: %d)"),
8963 +                        1 << fixP->tc_fix_data.align);
8964 +         ifield->insert(ifield, buf, value >> fixP->tc_fix_data.align);
8965 +         break;
8966 +       case BFD_RELOC_AVR32_ALIGN:
8967 +         /* Nothing to do */
8968 +         fixP->fx_done = FALSE;
8969 +         break;
8970 +       default:
8971 +         as_fatal("reloc type %s not handled\n",
8972 +                  bfd_get_reloc_code_name(fixP->fx_r_type));
8973 +       }
8974 +    }
8975 +}
8976 +#endif
8977 +
8978 +arelent *
8979 +tc_gen_reloc (asection *section ATTRIBUTE_UNUSED,
8980 +             fixS *fixp)
8981 +{
8982 +  arelent *reloc;
8983 +  bfd_reloc_code_real_type code;
8984 +
8985 +  reloc = xmalloc (sizeof (arelent));
8986 +
8987 +  reloc->sym_ptr_ptr = xmalloc (sizeof (asymbol *));
8988 +  *reloc->sym_ptr_ptr = symbol_get_bfdsym (fixp->fx_addsy);
8989 +  reloc->address = fixp->fx_frag->fr_address + fixp->fx_where;
8990 +  reloc->addend = fixp->fx_offset;
8991 +  code = fixp->fx_r_type;
8992 +
8993 +  reloc->howto = bfd_reloc_type_lookup (stdoutput, code);
8994 +
8995 +  if (reloc->howto == NULL)
8996 +    {
8997 +      as_bad_where (fixp->fx_file, fixp->fx_line,
8998 +                   _("cannot represent relocation %s in this object file format"),
8999 +                   bfd_get_reloc_code_name (code));
9000 +      return NULL;
9001 +    }
9002 +
9003 +  return reloc;
9004 +}
9005 +
9006 +bfd_boolean
9007 +avr32_force_reloc(fixS *fixP)
9008 +{
9009 +  if (linkrelax && fixP->fx_addsy
9010 +      && !(S_GET_SEGMENT(fixP->fx_addsy)->flags & SEC_DEBUGGING)
9011 +      && S_GET_SEGMENT(fixP->fx_addsy) != absolute_section)
9012 +    {
9013 +      pr_debug(stderr, "force reloc: addsy=%p, r_type=%d, sec=%s\n",
9014 +              fixP->fx_addsy, fixP->fx_r_type, S_GET_SEGMENT(fixP->fx_addsy)->name);
9015 +      return 1;
9016 +    }
9017 +
9018 +  return generic_force_reloc(fixP);
9019 +}
9020 +
9021 +bfd_boolean
9022 +avr32_fix_adjustable(fixS *fixP)
9023 +{
9024 +  switch (fixP->fx_r_type)
9025 +    {
9026 +      /* GOT relocations can't have addends since BFD treats all
9027 +        references to a given symbol the same. This means that we
9028 +        must avoid section-relative references to local symbols when
9029 +        dealing with these kinds of relocs */
9030 +    case BFD_RELOC_AVR32_GOT32:
9031 +    case BFD_RELOC_AVR32_GOT16:
9032 +    case BFD_RELOC_AVR32_GOT8:
9033 +    case BFD_RELOC_AVR32_GOT21S:
9034 +    case BFD_RELOC_AVR32_GOT18SW:
9035 +    case BFD_RELOC_AVR32_GOT16S:
9036 +    case BFD_RELOC_AVR32_LDA_GOT:
9037 +    case BFD_RELOC_AVR32_GOTCALL:
9038 +      pr_debug("fix not adjustable\n");
9039 +      return 0;
9040 +
9041 +    default:
9042 +      break;
9043 +    }
9044 +
9045 +  return 1;
9046 +}
9047 +
9048 +/* When we want the linker to be able to relax the code, we need to
9049 +   output a reloc for every .align directive requesting an alignment
9050 +   to a four byte boundary or larger.  If we don't do this, the linker
9051 +   can't guarantee that the alignment is actually maintained in the
9052 +   linker output.
9053 +
9054 +   TODO: Might as well insert proper NOPs while we're at it... */
9055 +void
9056 +avr32_handle_align(fragS *frag)
9057 +{
9058 +  if (linkrelax
9059 +      && frag->fr_type == rs_align_code
9060 +      && frag->fr_address + frag->fr_fix > 0
9061 +      && frag->fr_offset > 0)
9062 +    {
9063 +      /* The alignment order (fr_offset) is stored in the addend. */
9064 +      fix_new(frag, frag->fr_fix, 2, &abs_symbol, frag->fr_offset,
9065 +             FALSE, BFD_RELOC_AVR32_ALIGN);
9066 +    }
9067 +}
9068 +
9069 +/* Relax_align. Advance location counter to next address that has 'alignment'
9070 +   lowest order bits all 0s, return size of adjustment made.  */
9071 +relax_addressT
9072 +avr32_relax_align(segT segment ATTRIBUTE_UNUSED,
9073 +                 fragS *fragP,
9074 +                 relax_addressT address)
9075 +{
9076 +  relax_addressT mask;
9077 +  relax_addressT new_address;
9078 +  int alignment;
9079 +
9080 +  alignment = fragP->fr_offset;
9081 +  mask = ~((~0) << alignment);
9082 +  new_address = (address + mask) & (~mask);
9083 +
9084 +  return new_address - address;
9085 +}
9086 +
9087 +/* Turn a string in input_line_pointer into a floating point constant
9088 +   of type type, and store the appropriate bytes in *litP.  The number
9089 +   of LITTLENUMS emitted is stored in *sizeP .  An error message is
9090 +   returned, or NULL on OK. */
9091 +
9092 +/* Equal to MAX_PRECISION in atof-ieee.c */
9093 +#define MAX_LITTLENUMS 6
9094 +
9095 +char *
9096 +md_atof (type, litP, sizeP)
9097 +char   type;
9098 +char * litP;
9099 +int *  sizeP;
9100 +{
9101 +  int              i;
9102 +  int              prec;
9103 +  LITTLENUM_TYPE   words [MAX_LITTLENUMS];
9104 +  char *           t;
9105 +
9106 +  switch (type)
9107 +  {
9108 +    case 'f':
9109 +    case 'F':
9110 +    case 's':
9111 +    case 'S':
9112 +      prec = 2;
9113 +      break;
9114 +
9115 +    case 'd':
9116 +    case 'D':
9117 +    case 'r':
9118 +    case 'R':
9119 +      prec = 4;
9120 +      break;
9121 +
9122 +      /* FIXME: Some targets allow other format chars for bigger sizes here.  */
9123 +
9124 +    default:
9125 +      * sizeP = 0;
9126 +      return _("Bad call to md_atof()");
9127 +  }
9128 +
9129 +  t = atof_ieee (input_line_pointer, type, words);
9130 +  if (t)
9131 +    input_line_pointer = t;
9132 +  * sizeP = prec * sizeof (LITTLENUM_TYPE);
9133 +
9134 +  for (i = 0; i < prec; i++)
9135 +  {
9136 +    md_number_to_chars (litP, (valueT) words[i],
9137 +                        sizeof (LITTLENUM_TYPE));
9138 +    litP += sizeof (LITTLENUM_TYPE);
9139 +  }
9140 +
9141 +  return 0;
9142 +}
9143 +
9144 +static char *avr32_end_of_match(char *cont, char *what)
9145 +{
9146 +  int len = strlen (what);
9147 +
9148 +  if (! is_part_of_name (cont[len])
9149 +      && strncasecmp (cont, what, len) == 0)
9150 +    return cont + len;
9151 +
9152 +  return NULL;
9153 +}
9154 +
9155 +int
9156 +avr32_parse_name (char const *name, expressionS *exp, char *nextchar)
9157 +{
9158 +  char *next = input_line_pointer;
9159 +  char *next_end;
9160 +
9161 +  pr_debug("parse_name: %s, nextchar=%c (%02x)\n", name, *nextchar, *nextchar);
9162 +
9163 +  if (*nextchar == '(')
9164 +    {
9165 +      if (strcasecmp(name, "hi") == 0)
9166 +       {
9167 +         *next = *nextchar;
9168 +
9169 +         expression(exp);
9170 +
9171 +         if (exp->X_op == O_constant)
9172 +           {
9173 +             pr_debug("  -> constant hi(0x%08lx) -> 0x%04lx\n",
9174 +                      exp->X_add_number, exp->X_add_number >> 16);
9175 +             exp->X_add_number = (exp->X_add_number >> 16) & 0xffff;
9176 +           }
9177 +         else
9178 +           {
9179 +             exp->X_md = exp->X_op;
9180 +             exp->X_op = O_hi;
9181 +           }
9182 +
9183 +         return 1;
9184 +       }
9185 +      else if (strcasecmp(name, "lo") == 0)
9186 +       {
9187 +         *next = *nextchar;
9188 +
9189 +         expression(exp);
9190 +
9191 +         if (exp->X_op == O_constant)
9192 +           exp->X_add_number &= 0xffff;
9193 +         else
9194 +           {
9195 +             exp->X_md = exp->X_op;
9196 +             exp->X_op = O_lo;
9197 +           }
9198 +
9199 +         return 1;
9200 +       }
9201 +    }
9202 +  else if (*nextchar == '@')
9203 +    {
9204 +      exp->X_md = exp->X_op;
9205 +
9206 +      if ((next_end = avr32_end_of_match (next + 1, "got")))
9207 +       exp->X_op = O_got;
9208 +      else if ((next_end = avr32_end_of_match (next + 1, "tlsgd")))
9209 +       exp->X_op = O_tlsgd;
9210 +      /* Add more as needed */
9211 +      else
9212 +       {
9213 +         char c;
9214 +         input_line_pointer++;
9215 +         c = get_symbol_end();
9216 +         as_bad (_("unknown relocation override `%s'"), next + 1);
9217 +         *input_line_pointer = c;
9218 +         input_line_pointer = next;
9219 +         return 0;
9220 +       }
9221 +
9222 +      exp->X_op_symbol = NULL;
9223 +      exp->X_add_symbol = symbol_find_or_make (name);
9224 +      exp->X_add_number = 0;
9225 +
9226 +      *input_line_pointer = *nextchar;
9227 +      input_line_pointer = next_end;
9228 +      *nextchar = *input_line_pointer;
9229 +      *input_line_pointer = '\0';
9230 +      return 1;
9231 +    }
9232 +  else if (strcmp (name, "_GLOBAL_OFFSET_TABLE_") == 0)
9233 +    {
9234 +      if (!GOT_symbol)
9235 +       GOT_symbol = symbol_find_or_make(name);
9236 +
9237 +      exp->X_add_symbol = GOT_symbol;
9238 +      exp->X_op = O_symbol;
9239 +      exp->X_add_number = 0;
9240 +      return 1;
9241 +    }
9242 +
9243 +  return 0;
9244 +}
9245 +
9246 +static void
9247 +s_rseg (int value ATTRIBUTE_UNUSED)
9248 +{
9249 +  /* Syntax: RSEG segment_name [:type] [NOROOT|ROOT] [(align)]
9250 +   * Defaults:
9251 +   *  - type: undocumented ("typically CODE or DATA")
9252 +   *  - ROOT
9253 +   *  - align: 1 for code, 0 for others
9254 +   *
9255 +   * TODO: NOROOT is ignored. If gas supports discardable segments, it should
9256 +   * be implemented.
9257 +   */
9258 +  char *name, *end;
9259 +  int length, type, attr;
9260 +  int align = 0;
9261 +
9262 +  SKIP_WHITESPACE();
9263 +
9264 +  end = input_line_pointer;
9265 +  while (0 == strchr ("\n\t;:( ", *end))
9266 +    end++;
9267 +  if (end == input_line_pointer)
9268 +    {
9269 +      as_warn (_("missing name"));
9270 +      ignore_rest_of_line();
9271 +      return;
9272 +    }
9273 +
9274 +  name = xmalloc (end - input_line_pointer + 1);
9275 +  memcpy (name, input_line_pointer, end - input_line_pointer);
9276 +  name[end - input_line_pointer] = '\0';
9277 +  input_line_pointer = end;
9278 +
9279 +  SKIP_WHITESPACE();
9280 +
9281 +  type = SHT_NULL;
9282 +  attr = 0;
9283 +
9284 +  if (*input_line_pointer == ':')
9285 +    {
9286 +      /* Skip the colon */
9287 +      ++input_line_pointer;
9288 +      SKIP_WHITESPACE();
9289 +
9290 +      /* Possible options at this point:
9291 +       *   - flag (ROOT or NOROOT)
9292 +       *   - a segment type
9293 +       */
9294 +      end = input_line_pointer;
9295 +      while (0 == strchr ("\n\t;:( ", *end))
9296 +       end++;
9297 +      length = end - input_line_pointer;
9298 +      if (((length == 4) && (0 == strncasecmp( input_line_pointer, "ROOT", 4))) ||
9299 +         ((length == 6) && (0 == strncasecmp( input_line_pointer, "NOROOT", 6))))
9300 +       {
9301 +         /* Ignore ROOT/NOROOT */
9302 +         input_line_pointer = end;
9303 +       }
9304 +      else
9305 +       {
9306 +         /* Must be a segment type */
9307 +         switch (*input_line_pointer)
9308 +           {
9309 +           case 'C':
9310 +           case 'c':
9311 +             if ((length == 4) &&
9312 +                 (0 == strncasecmp (input_line_pointer, "CODE", 4)))
9313 +               {
9314 +                 attr |= SHF_ALLOC | SHF_EXECINSTR;
9315 +                 type = SHT_PROGBITS;
9316 +                 align = 1;
9317 +                 break;
9318 +               }
9319 +             if ((length == 5) &&
9320 +                 (0 == strncasecmp (input_line_pointer, "CONST", 5)))
9321 +               {
9322 +                 attr |= SHF_ALLOC;
9323 +                 type = SHT_PROGBITS;
9324 +                 break;
9325 +               }
9326 +             goto de_fault;
9327 +
9328 +           case 'D':
9329 +           case 'd':
9330 +             if ((length == 4) &&
9331 +                 (0 == strncasecmp (input_line_pointer, "DATA", 4)))
9332 +               {
9333 +                 attr |= SHF_ALLOC | SHF_WRITE;
9334 +                 type = SHT_PROGBITS;
9335 +                 break;
9336 +               }
9337 +             goto de_fault;
9338 +
9339 +             /* TODO: Add FAR*, HUGE*, IDATA and NEAR* if necessary */
9340 +
9341 +           case 'U':
9342 +           case 'u':
9343 +             if ((length == 7) &&
9344 +                 (0 == strncasecmp (input_line_pointer, "UNTYPED", 7)))
9345 +               break;
9346 +             goto de_fault;
9347 +
9348 +             /* TODO: Add XDATA and ZPAGE if necessary */
9349 +
9350 +           de_fault:
9351 +           default:
9352 +             as_warn (_("unrecognized segment type"));
9353 +           }
9354 +
9355 +         input_line_pointer = end;
9356 +         SKIP_WHITESPACE();
9357 +
9358 +         if (*input_line_pointer == ':')
9359 +           {
9360 +             /*  ROOT/NOROOT */
9361 +             ++input_line_pointer;
9362 +             SKIP_WHITESPACE();
9363 +
9364 +             end = input_line_pointer;
9365 +             while (0 == strchr ("\n\t;:( ", *end))
9366 +               end++;
9367 +             length = end - input_line_pointer;
9368 +             if (! ((length == 4) &&
9369 +                    (0 == strncasecmp( input_line_pointer, "ROOT", 4))) &&
9370 +                 ! ((length == 6) &&
9371 +                    (0 == strncasecmp( input_line_pointer, "NOROOT", 6))))
9372 +               {
9373 +                 as_warn (_("unrecognized segment flag"));
9374 +               }
9375 +
9376 +             input_line_pointer = end;
9377 +             SKIP_WHITESPACE();
9378 +           }
9379 +       }
9380 +    }
9381 +
9382 +  if (*input_line_pointer == '(')
9383 +    {
9384 +      align = get_absolute_expression ();
9385 +    }
9386 +
9387 +  demand_empty_rest_of_line();
9388 +
9389 +  obj_elf_change_section (name, type, attr, 0, NULL, 0, 0);
9390 +#ifdef AVR32_DEBUG
9391 +  fprintf( stderr, "RSEG: Changed section to %s, type: 0x%x, attr: 0x%x\n",
9392 +      name, type, attr );
9393 +  fprintf( stderr, "RSEG: Aligning to 2**%d\n", align );
9394 +#endif
9395 +
9396 +  if (align > 15)
9397 +    {
9398 +      align = 15;
9399 +      as_warn (_("alignment too large: %u assumed"), align);
9400 +    }
9401 +
9402 +  /* Hope not, that is */
9403 +  assert (now_seg != absolute_section);
9404 +
9405 +  /* Only make a frag if we HAVE to... */
9406 +  if (align != 0 && !need_pass_2)
9407 +    {
9408 +      if (subseg_text_p (now_seg))
9409 +       frag_align_code (align, 0);
9410 +      else
9411 +       frag_align (align, 0, 0);
9412 +    }
9413 +
9414 +  record_alignment (now_seg, align - OCTETS_PER_BYTE_POWER);
9415 +}
9416 +
9417 +/* vim: syntax=c sw=2
9418 + */
9419 --- /dev/null
9420 +++ b/gas/config/tc-avr32.h
9421 @@ -0,0 +1,325 @@
9422 +/* Assembler definitions for AVR32.
9423 +   Copyright 2003,2004,2005,2006,2007,2008,2009 Atmel Corporation.
9424 +
9425 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
9426 +
9427 +   This file is part of GAS, the GNU Assembler.
9428 +
9429 +   GAS is free software; you can redistribute it and/or modify it
9430 +   under the terms of the GNU General Public License as published by
9431 +   the Free Software Foundation; either version 2, or (at your option)
9432 +   any later version.
9433 +
9434 +   GAS is distributed in the hope that it will be useful, but WITHOUT
9435 +   ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
9436 +   or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public
9437 +   License for more details.
9438 +
9439 +   You should have received a copy of the GNU General Public License
9440 +   along with GAS; see the file COPYING.  If not, write to the Free
9441 +   Software Foundation, 59 Temple Place - Suite 330, Boston, MA
9442 +   02111-1307, USA.  */
9443 +
9444 +#if 0
9445 +#define DEBUG
9446 +#define DEBUG1
9447 +#define DEBUG2
9448 +#define DEBUG3
9449 +#define DEBUG4
9450 +#define DEBUG5
9451 +#endif
9452 +
9453 +/* Are we trying to be compatible with the IAR assembler? (--iar) */
9454 +extern int avr32_iarcompat;
9455 +
9456 +/* By convention, you should define this macro in the `.h' file.  For
9457 +   example, `tc-m68k.h' defines `TC_M68K'.  You might have to use this
9458 +   if it is necessary to add CPU specific code to the object format
9459 +   file.  */
9460 +#define TC_AVR32
9461 +
9462 +/* This macro is the BFD target name to use when creating the output
9463 +   file.  This will normally depend upon the `OBJ_FMT' macro.  */
9464 +#define TARGET_FORMAT "elf32-avr32"
9465 +
9466 +/* This macro is the BFD architecture to pass to `bfd_set_arch_mach'.  */
9467 +#define TARGET_ARCH bfd_arch_avr32
9468 +
9469 +/* This macro is the BFD machine number to pass to
9470 +   `bfd_set_arch_mach'.  If it is not defined, GAS will use 0.  */
9471 +#define TARGET_MACH 0
9472 +
9473 +/* UNDOCUMENTED: Allow //-style comments */
9474 +#define DOUBLESLASH_LINE_COMMENTS
9475 +
9476 +/* You should define this macro to be non-zero if the target is big
9477 +   endian, and zero if the target is little endian.  */
9478 +#define TARGET_BYTES_BIG_ENDIAN 1
9479 +
9480 +/* FIXME: It seems that GAS only expects a one-byte opcode...
9481 +   #define NOP_OPCODE 0xd703 */
9482 +
9483 +/* If you define this macro, GAS will warn about the use of
9484 +   nonstandard escape sequences in a string.  */
9485 +#undef ONLY_STANDARD_ESCAPES
9486 +
9487 +#define DWARF2_FORMAT(SEC) dwarf2_format_32bit
9488 +
9489 +/* Instructions are either 2 or 4 bytes long */
9490 +/* #define DWARF2_LINE_MIN_INSN_LENGTH 2 */
9491 +
9492 +/* GAS will call this function for any expression that can not be
9493 +   recognized.  When the function is called, `input_line_pointer'
9494 +   will point to the start of the expression.  */
9495 +#define md_operand(x)
9496 +
9497 +#define md_parse_name(name, expr, mode, c) avr32_parse_name(name, expr, c)
9498 +extern int avr32_parse_name(const char *, struct expressionS *, char *);
9499 +
9500 +/* You may define this macro to generate a fixup for a data
9501 +   allocation pseudo-op.  */
9502 +#define TC_CONS_FIX_NEW(FRAG, OFF, LEN, EXP)   \
9503 +  avr32_cons_fix_new(FRAG, OFF, LEN, EXP)
9504 +void avr32_cons_fix_new (fragS *, int, int, expressionS *);
9505 +
9506 +/* `extsym - .' expressions can be emitted using PC-relative relocs */
9507 +#define DIFF_EXPR_OK
9508 +
9509 +/* This is used to construct expressions out of @gotoff, etc. The
9510 +   relocation type is stored in X_md */
9511 +#define O_got          O_md1
9512 +#define O_hi           O_md2
9513 +#define O_lo           O_md3
9514 +#define O_tlsgd                O_md4
9515 +
9516 +/* You may define this macro to parse an expression used in a data
9517 +   allocation pseudo-op such as `.word'.  You can use this to
9518 +   recognize relocation directives that may appear in such directives.  */
9519 +/* #define TC_PARSE_CONS_EXPRESSION(EXPR,N) avr_parse_cons_expression (EXPR,N)
9520 +   void avr_parse_cons_expression (expressionS *exp, int nbytes); */
9521 +
9522 +/* This should just call either `number_to_chars_bigendian' or
9523 +   `number_to_chars_littleendian', whichever is appropriate.  On
9524 +   targets like the MIPS which support options to change the
9525 +   endianness, which function to call is a runtime decision.  On
9526 +   other targets, `md_number_to_chars' can be a simple macro.  */
9527 +#define md_number_to_chars number_to_chars_bigendian
9528 +
9529 +/* `md_short_jump_size'
9530 +   `md_long_jump_size'
9531 +   `md_create_short_jump'
9532 +   `md_create_long_jump'
9533 +   If `WORKING_DOT_WORD' is defined, GAS will not do broken word
9534 +   processing (*note Broken words::.).  Otherwise, you should set
9535 +   `md_short_jump_size' to the size of a short jump (a jump that is
9536 +   just long enough to jump around a long jmp) and
9537 +   `md_long_jump_size' to the size of a long jump (a jump that can go
9538 +   anywhere in the function), You should define
9539 +   `md_create_short_jump' to create a short jump around a long jump,
9540 +   and define `md_create_long_jump' to create a long jump.  */
9541 +#define WORKING_DOT_WORD
9542 +
9543 +/* If you define this macro, it means that `tc_gen_reloc' may return
9544 +   multiple relocation entries for a single fixup.  In this case, the
9545 +   return value of `tc_gen_reloc' is a pointer to a null terminated
9546 +   array.  */
9547 +#undef RELOC_EXPANSION_POSSIBLE
9548 +
9549 +/* If you define this macro, GAS will not require pseudo-ops to start with a .
9550 +   character. */
9551 +#define NO_PSEUDO_DOT (avr32_iarcompat)
9552 +
9553 +/* The IAR assembler uses $ as the location counter. Unfortunately, we
9554 +   can't make this dependent on avr32_iarcompat... */
9555 +#define DOLLAR_DOT
9556 +
9557 +/* Values passed to md_apply_fix3 don't include the symbol value.  */
9558 +#define MD_APPLY_SYM_VALUE(FIX) 0
9559 +
9560 +/* The number of bytes to put into a word in a listing.  This affects
9561 +   the way the bytes are clumped together in the listing.  For
9562 +   example, a value of 2 might print `1234 5678' where a value of 1
9563 +   would print `12 34 56 78'.  The default value is 4.  */
9564 +#define LISTING_WORD_SIZE 4
9565 +
9566 +/* extern const struct relax_type md_relax_table[];
9567 +#define TC_GENERIC_RELAX_TABLE md_relax_table */
9568 +
9569 +/*
9570 +  An `.lcomm' directive with no explicit alignment parameter will use
9571 +  this macro to set P2VAR to the alignment that a request for SIZE
9572 +  bytes will have.  The alignment is expressed as a power of two.  If
9573 +  no alignment should take place, the macro definition should do
9574 +  nothing.  Some targets define a `.bss' directive that is also
9575 +  affected by this macro.  The default definition will set P2VAR to
9576 +  the truncated power of two of sizes up to eight bytes.
9577 +
9578 +  We want doublewords to be word-aligned, so we're going to modify the
9579 +  default definition a tiny bit.
9580 +*/
9581 +#define TC_IMPLICIT_LCOMM_ALIGNMENT(SIZE, P2VAR)       \
9582 +  do                                                   \
9583 +    {                                                  \
9584 +      if ((SIZE) >= 4)                                 \
9585 +       (P2VAR) = 2;                                    \
9586 +      else if ((SIZE) >= 2)                            \
9587 +       (P2VAR) = 1;                                    \
9588 +      else                                             \
9589 +       (P2VAR) = 0;                                    \
9590 +    }                                                  \
9591 +  while (0)
9592 +
9593 +/* When relaxing, we need to generate relocations for alignment
9594 +   directives.  */
9595 +#define HANDLE_ALIGN(frag) avr32_handle_align(frag)
9596 +extern void avr32_handle_align(fragS *);
9597 +
9598 +/* See internals doc for explanation. Oh wait...
9599 +   Now, can you guess where "alignment" comes from? ;-) */
9600 +#define MAX_MEM_FOR_RS_ALIGN_CODE ((1 << alignment) - 1)
9601 +
9602 +/* We need to stop gas from reducing certain expressions (e.g. GOT
9603 +   references) */
9604 +#define tc_fix_adjustable(fix) avr32_fix_adjustable(fix)
9605 +extern bfd_boolean avr32_fix_adjustable(struct fix *);
9606 +
9607 +/* The linker needs to be passed a little more information when relaxing. */
9608 +#define TC_FORCE_RELOCATION(fix) avr32_force_reloc(fix)
9609 +extern bfd_boolean avr32_force_reloc(struct fix *);
9610 +
9611 +/* I'm tired of working around all the madness in fixup_segment().
9612 +   This hook will do basically the same things as the generic code,
9613 +   and then it will "goto" right past it.  */
9614 +#define TC_VALIDATE_FIX(FIX, SEG, SKIP)                \
9615 +  do                                           \
9616 +    {                                          \
9617 +      avr32_process_fixup(FIX, SEG);           \
9618 +      if (!(FIX)->fx_done)                     \
9619 +       ++seg_reloc_count;                      \
9620 +      goto SKIP;                               \
9621 +    }                                          \
9622 +  while (0)
9623 +extern void avr32_process_fixup(struct fix *fixP, segT this_segment);
9624 +
9625 +/* Positive values of TC_FX_SIZE_SLACK allow a target to define
9626 +   fixups that far past the end of a frag.  Having such fixups
9627 +   is of course most most likely a bug in setting fx_size correctly.
9628 +   A negative value disables the fixup check entirely, which is
9629 +   appropriate for something like the Renesas / SuperH SH_COUNT
9630 +   reloc.  */
9631 +/* This target is buggy, and sets fix size too large.  */
9632 +#define TC_FX_SIZE_SLACK(FIX) -1
9633 +
9634 +/* We don't want the gas core to make any assumptions about our way of
9635 +   doing linkrelaxing.  */
9636 +#define TC_LINKRELAX_FIXUP(SEG)                        0
9637 +
9638 +/* ... but we do want it to insert lots of padding. */
9639 +#define LINKER_RELAXING_SHRINKS_ONLY
9640 +
9641 +/* Better do it ourselves, really... */
9642 +#define TC_RELAX_ALIGN(SEG, FRAG, ADDR)        avr32_relax_align(SEG, FRAG, ADDR)
9643 +extern relax_addressT
9644 +avr32_relax_align(segT segment, fragS *fragP, relax_addressT address);
9645 +
9646 +/* Use line number format that is amenable to linker relaxation.  */
9647 +#define DWARF2_USE_FIXED_ADVANCE_PC (linkrelax != 0)
9648 +
9649 +/* This is called by write_object_file() just before symbols are
9650 +   attempted converted into section symbols.  */
9651 +#define tc_frob_file_before_adjust()   avr32_frob_file()
9652 +extern void avr32_frob_file(void);
9653 +
9654 +/* If you define this macro, GAS will call it at the end of each input
9655 +   file.  */
9656 +#define md_cleanup() avr32_cleanup()
9657 +extern void avr32_cleanup(void);
9658 +
9659 +/* There's an AVR32-specific hack in operand() which creates O_md
9660 +   expressions when encountering HWRD or LWRD. We need to generate
9661 +   proper relocs for them */
9662 +/* #define md_cgen_record_fixup_exp avr32_cgen_record_fixup_exp */
9663 +
9664 +/* I needed to add an extra hook in gas_cgen_finish_insn() for
9665 +   conversion of O_md* operands because md_cgen_record_fixup_exp()
9666 +   isn't called for relaxable insns */
9667 +/* #define md_cgen_convert_expr(exp, opinfo) avr32_cgen_convert_expr(exp, opinfo)
9668 +   int avr32_cgen_convert_expr(expressionS *, int); */
9669 +
9670 +/* #define tc_gen_reloc gas_cgen_tc_gen_reloc */
9671 +
9672 +/* If you define this macro, it should return the position from which
9673 +   the PC relative adjustment for a PC relative fixup should be
9674 +   made. On many processors, the base of a PC relative instruction is
9675 +   the next instruction, so this macro would return the length of an
9676 +   instruction, plus the address of the PC relative fixup. The latter
9677 +   can be calculated as fixp->fx_where + fixp->fx_frag->fr_address. */
9678 +extern long md_pcrel_from_section (struct fix *, segT);
9679 +#define MD_PCREL_FROM_SECTION(FIX, SEC) md_pcrel_from_section (FIX, SEC)
9680 +
9681 +#define LOCAL_LABEL(name) (name[0] == '.' && (name[1] == 'L'))
9682 +#define LOCAL_LABELS_FB                1
9683 +
9684 +struct avr32_relaxer
9685 +{
9686 +  int (*estimate_size)(fragS *, segT);
9687 +  long (*relax_frag)(segT, fragS *, long);
9688 +  void (*convert_frag)(bfd *, segT, fragS *);
9689 +};
9690 +
9691 +/* AVR32 has quite complex instruction coding, which means we need
9692 + * lots of information in order to do the right thing during relaxing
9693 + * (basically, we need to be able to reconstruct a whole new opcode if
9694 + * necessary) */
9695 +#define TC_FRAG_TYPE struct avr32_frag_data
9696 +
9697 +struct cpool;
9698 +
9699 +struct avr32_frag_data
9700 +{
9701 +  /* TODO: Maybe add an expression object here so that we can use
9702 +     fix_new_exp() in md_convert_frag?  We may have to decide
9703 +     pcrel-ness in md_estimate_size_before_relax() as well...or we
9704 +     might do it when parsing.  Doing it while parsing may fail
9705 +     because the sub_symbol is undefined then... */
9706 +  int pcrel;
9707 +  int force_extended;
9708 +  int reloc_info;
9709 +  struct avr32_relaxer *relaxer;
9710 +  expressionS exp;
9711 +
9712 +  /* Points to associated constant pool, for use by LDA and CALL in
9713 +     non-pic mode, and when relaxing the .cpool directive */
9714 +  struct cpool *pool;
9715 +  unsigned int pool_entry;
9716 +};
9717 +
9718 +/* We will have to initialize the fields explicitly when needed */
9719 +#define TC_FRAG_INIT(fragP)
9720 +
9721 +#define md_estimate_size_before_relax(fragP, segT)                     \
9722 +  ((fragP)->tc_frag_data.relaxer->estimate_size(fragP, segT))
9723 +#define md_relax_frag(segment, fragP, stretch)                         \
9724 +  ((fragP)->tc_frag_data.relaxer->relax_frag(segment, fragP, stretch))
9725 +#define md_convert_frag(abfd, segment, fragP)                          \
9726 +  ((fragP)->tc_frag_data.relaxer->convert_frag(abfd, segment, fragP))
9727 +
9728 +#define TC_FIX_TYPE struct avr32_fix_data
9729 +
9730 +struct avr32_fix_data
9731 +{
9732 +  const struct avr32_ifield *ifield;
9733 +  unsigned int align;
9734 +  long min;
9735 +  long max;
9736 +};
9737 +
9738 +#define TC_INIT_FIX_DATA(fixP)                 \
9739 +  do                                           \
9740 +    {                                          \
9741 +      (fixP)->tc_fix_data.ifield = NULL;       \
9742 +      (fixP)->tc_fix_data.align = 0;           \
9743 +      (fixP)->tc_fix_data.min = 0;             \
9744 +      (fixP)->tc_fix_data.max = 0;             \
9745 +    }                                          \
9746 +  while (0)
9747 --- a/gas/configure.tgt
9748 +++ b/gas/configure.tgt
9749 @@ -33,6 +33,7 @@ case ${cpu} in
9750    am33_2.0)            cpu_type=mn10300 endian=little ;;
9751    arm*be|arm*b)                cpu_type=arm endian=big ;;
9752    arm*)                        cpu_type=arm endian=little ;;
9753 +  avr32*)              cpu_type=avr32 endian=big ;;
9754    bfin*)               cpu_type=bfin endian=little ;;
9755    c4x*)                        cpu_type=tic4x ;;
9756    cr16*)               cpu_type=cr16 endian=little ;;
9757 @@ -136,6 +137,9 @@ case ${generic_target} in
9758  
9759    cr16-*-elf*)                         fmt=elf ;;
9760  
9761 +  avr32-*-linux*)                      fmt=elf  em=linux bfd_gas=yes ;;
9762 +  avr32*)                              fmt=elf  bfd_gas=yes ;;
9763 +
9764    cris-*-linux-* | crisv32-*-linux-*)
9765                                         fmt=multi em=linux ;;
9766    cris-*-* | crisv32-*-*)              fmt=multi ;;
9767 --- a/gas/doc/all.texi
9768 +++ b/gas/doc/all.texi
9769 @@ -30,6 +30,7 @@
9770  @set ARC
9771  @set ARM
9772  @set AVR
9773 +@set AVR32
9774  @set Blackfin
9775  @set CR16
9776  @set CRIS
9777 --- a/gas/doc/asconfig.texi
9778 +++ b/gas/doc/asconfig.texi
9779 @@ -30,6 +30,7 @@
9780  @set ARC
9781  @set ARM
9782  @set AVR
9783 +@set AVR32
9784  @set Blackfin
9785  @set CR16
9786  @set CRIS
9787 --- a/gas/doc/as.texinfo
9788 +++ b/gas/doc/as.texinfo
9789 @@ -6865,6 +6865,9 @@ subject, see the hardware manufacturer's
9790  @ifset AVR
9791  * AVR-Dependent::               AVR Dependent Features
9792  @end ifset
9793 +@ifset AVR32
9794 +* AVR32-Dependent::               AVR32 Dependent Features
9795 +@end ifset
9796  @ifset Blackfin
9797  * Blackfin-Dependent::         Blackfin Dependent Features
9798  @end ifset
9799 @@ -7006,6 +7009,10 @@ subject, see the hardware manufacturer's
9800  @include c-avr.texi
9801  @end ifset
9802  
9803 +@ifset AVR32
9804 +@include c-avr32.texi
9805 +@end ifset
9806 +
9807  @ifset Blackfin
9808  @include c-bfin.texi
9809  @end ifset
9810 --- /dev/null
9811 +++ b/gas/doc/c-avr32.texi
9812 @@ -0,0 +1,244 @@
9813 +@c Copyright 2005, 2006, 2007, 2008, 2009
9814 +@c Atmel Corporation
9815 +@c This is part of the GAS manual.
9816 +@c For copying conditions, see the file as.texinfo.
9817 +
9818 +@ifset GENERIC
9819 +@page
9820 +@node AVR32-Dependent
9821 +@chapter AVR32 Dependent Features
9822 +@end ifset
9823 +
9824 +@ifclear GENERIC
9825 +@node Machine Dependencies
9826 +@chapter AVR32 Dependent Features
9827 +@end ifclear
9828 +
9829 +@cindex AVR32 support
9830 +@menu
9831 +* AVR32 Options::               Options
9832 +* AVR32 Syntax::                Syntax
9833 +* AVR32 Directives::            Directives
9834 +* AVR32 Opcodes::               Opcodes
9835 +@end menu
9836 +
9837 +@node AVR32 Options
9838 +@section Options
9839 +@cindex AVR32 options
9840 +@cindex options for AVR32
9841 +
9842 +@table @code
9843 +
9844 +@cindex @code{--pic} command line option, AVR32
9845 +@cindex PIC code generation for AVR32
9846 +@item --pic
9847 +This option specifies that the output of the assembler should be marked
9848 +as position-independent code (PIC).  It will also ensure that
9849 +pseudo-instructions that deal with address calculation are output as
9850 +PIC, and that all absolute address references in the code are marked as
9851 +such.
9852 +
9853 +@cindex @code{--linkrelax} command line option, AVR32
9854 +@item --linkrelax
9855 +This option specifies that the output of the assembler should be marked
9856 +as linker-relaxable.  It will also ensure that all PC-relative operands
9857 +that may change during linker relaxation get appropriate relocations.
9858 +
9859 +@end table
9860 +
9861 +
9862 +@node AVR32 Syntax
9863 +@section Syntax
9864 +@menu
9865 +* AVR32-Chars::              Special Characters
9866 +* AVR32-Symrefs::            Symbol references
9867 +@end menu
9868 +
9869 +@node AVR32-Chars
9870 +@subsection Special Characters
9871 +
9872 +@cindex line comment character, AVR32
9873 +@cindex AVR32 line comment character
9874 +The presence of a @samp{//} on a line indicates the start of a comment
9875 +that extends to the end of the current line.  If a @samp{#} appears as
9876 +the first character of a line, the whole line is treated as a comment.
9877 +
9878 +@cindex line separator, AVR32
9879 +@cindex statement separator, AVR32
9880 +@cindex AVR32 line separator
9881 +The @samp{;} character can be used instead of a newline to separate
9882 +statements.
9883 +
9884 +@node AVR32-Symrefs
9885 +@subsection Symbol references
9886 +
9887 +The absolute value of a symbol can be obtained by simply naming the
9888 +symbol.  However, as AVR32 symbols have 32-bit values, most symbols have
9889 +values that are outside the range of any instructions.
9890 +
9891 +Instructions that take a PC-relative offset, e.g. @code{lddpc} or
9892 +@code{rcall}, can also reference a symbol by simply naming the symbol
9893 +(no explicit calculations necessary).  In this case, the assembler or
9894 +linker subtracts the address of the instruction from the symbol's value
9895 +and inserts the result into the instruction.  Note that even though an
9896 +overflow is less likely to happen for a relative reference than for an
9897 +absolute reference, the assembler or linker will generate an error if
9898 +the referenced symbol is too far away from the current location.
9899 +
9900 +Relative references can be used for data as well.  For example:
9901 +
9902 +@smallexample
9903 +        lddpc   r0, 2f
9904 +1:      add     r0, pc
9905 +        ...
9906 +        .align  2
9907 +2:      .int    @var{some_symbol} - 1b
9908 +@end smallexample
9909 +
9910 +Here, r0 will end up with the run-time address of @var{some_symbol} even
9911 +if the program was loaded at a different address than it was linked
9912 +(position-independent code).
9913 +
9914 +@subsubsection Symbol modifiers
9915 +
9916 +@table @code
9917 +
9918 +@item @code{hi(@var{symbol})}
9919 +Evaluates to the value of the symbol shifted right 16 bits.  This will
9920 +work even if @var{symbol} is defined in a different module.
9921 +
9922 +@item @code{lo(@var{symbol})}
9923 +Evaluates to the low 16 bits of the symbol's value.  This will work even
9924 +if @var{symbol} is defined in a different module.
9925 +
9926 +@item @code{@var{symbol}@@got}
9927 +Create a GOT entry for @var{symbol} and return the offset of that entry
9928 +relative to the GOT base.
9929 +
9930 +@end table
9931 +
9932 +
9933 +@node AVR32 Directives
9934 +@section Directives
9935 +@cindex machine directives, AVR32
9936 +@cindex AVR32 directives
9937 +
9938 +@table @code
9939 +
9940 +@cindex @code{.cpool} directive, AVR32
9941 +@item .cpool
9942 +This directive causes the current contents of the constant pool to be
9943 +dumped into the current section at the current location (aligned to a
9944 +word boundary).  @code{GAS} maintains a separate constant pool for each
9945 +section and each sub-section.  The @code{.cpool} directive will only
9946 +affect the constant pool of the current section and sub-section.  At the
9947 +end of assembly, all remaining, non-empty constant pools will
9948 +automatically be dumped.
9949 +
9950 +@end table
9951 +
9952 +
9953 +@node AVR32 Opcodes
9954 +@section Opcodes
9955 +@cindex AVR32 opcodes
9956 +@cindex opcodes for AVR32
9957 +
9958 +@code{@value{AS}} implements all the standard AVR32 opcodes.  It also
9959 +implements several pseudo-opcodes, which are recommended to use wherever
9960 +possible because they give the tool chain better freedom to generate
9961 +optimal code.
9962 +
9963 +@table @code
9964 +
9965 +@cindex @code{LDA.W reg, symbol} pseudo op, AVR32
9966 +@item LDA.W
9967 +@smallexample
9968 +        lda.w   @var{reg}, @var{symbol}
9969 +@end smallexample
9970 +
9971 +This instruction will load the address of @var{symbol} into
9972 +@var{reg}. The instruction will evaluate to one of the following,
9973 +depending on the relative distance to the symbol, the relative distance
9974 +to the constant pool and whether the @code{--pic} option has been
9975 +specified. If the @code{--pic} option has not been specified, the
9976 +alternatives are as follows:
9977 +@smallexample
9978 +        /* @var{symbol} evaluates to a small enough value */
9979 +        mov     @var{reg}, @var{symbol}
9980 +
9981 +        /* (. - @var{symbol}) evaluates to a small enough value */
9982 +        sub     @var{reg}, pc, . - @var{symbol}
9983 +
9984 +        /* Constant pool is close enough */
9985 +        lddpc   @var{reg}, @var{cpent}
9986 +        ...
9987 +@var{cpent}:
9988 +        .long   @var{symbol}
9989 +
9990 +        /* Otherwise (not implemented yet, probably not necessary) */
9991 +        mov     @var{reg}, lo(@var{symbol})
9992 +        orh     @var{reg}, hi(@var{symbol})
9993 +@end smallexample
9994 +
9995 +If the @code{--pic} option has been specified, the alternatives are as
9996 +follows:
9997 +@smallexample
9998 +        /* (. - @var{symbol}) evaluates to a small enough value */
9999 +        sub     @var{reg}, pc, . - @var{symbol}
10000 +
10001 +        /* If @code{--linkrelax} not specified */
10002 +        ld.w    @var{reg}, r6[@var{symbol}@@got]
10003 +
10004 +        /* Otherwise */
10005 +        mov     @var{reg}, @var{symbol}@@got / 4
10006 +        ld.w    @var{reg}, r6[@var{reg} << 2]
10007 +@end smallexample
10008 +
10009 +If @var{symbol} is not defined in the same file and section as the
10010 +@code{LDA.W} instruction, the most pessimistic alternative of the
10011 +above is selected. The linker may convert it back into the most
10012 +optimal alternative when the final value of all symbols is known.
10013 +
10014 +@cindex @code{CALL symbol} pseudo op, AVR32
10015 +@item CALL
10016 +@smallexample
10017 +        call    @var{symbol}
10018 +@end smallexample
10019 +
10020 +This instruction will insert code to call the subroutine identified by
10021 +@var{symbol}. It will evaluate to one of the following, depending on
10022 +the relative distance to the symbol as well as the @code{--linkrelax}
10023 +and @code{--pic} command-line options.
10024 +
10025 +If @var{symbol} is defined in the same section and input file, and the
10026 +distance is small enough, an @code{rcall} instruction is inserted:
10027 +@smallexample
10028 +        rcall   @var{symbol}
10029 +@end smallexample
10030 +
10031 +Otherwise, if the @code{--pic} option has not been specified:
10032 +@smallexample
10033 +        mcall   @var{cpent}
10034 +        ...
10035 +@var{cpent}:
10036 +        .long   @var{symbol}
10037 +@end smallexample
10038 +
10039 +Finally, if nothing else fits and the @code{--pic} option has been
10040 +specified, the assembler will indirect the call through the Global
10041 +Offset Table:
10042 +@smallexample
10043 +        /* If @code{--linkrelax} not specified */
10044 +        mcall   r6[@var{symbol}@@got]
10045 +
10046 +        /* If @code{--linkrelax} specified */
10047 +        mov     lr, @var{symbol}@@got / 4
10048 +        ld.w    lr, r6[lr << 2]
10049 +        icall   lr
10050 +@end smallexample
10051 +
10052 +The linker, after determining the final value of @var{symbol}, may
10053 +convert any of these into more optimal alternatives. This includes
10054 +deleting any superfluous constant pool- and GOT-entries.
10055 +
10056 +@end table
10057 --- a/gas/doc/Makefile.am
10058 +++ b/gas/doc/Makefile.am
10059 @@ -33,6 +33,7 @@ CPU_DOCS = \
10060         c-arc.texi \
10061         c-arm.texi \
10062         c-avr.texi \
10063 +       c-avr32.texi \
10064         c-bfin.texi \
10065         c-cr16.texi \
10066         c-d10v.texi \
10067 --- a/gas/Makefile.am
10068 +++ b/gas/Makefile.am
10069 @@ -111,6 +111,7 @@ TARGET_CPU_CFILES = \
10070         config/tc-arc.c \
10071         config/tc-arm.c \
10072         config/tc-avr.c \
10073 +       config/tc-avr32.c \
10074         config/tc-bfin.c \
10075         config/tc-cr16.c \
10076         config/tc-cris.c \
10077 @@ -175,6 +176,7 @@ TARGET_CPU_HFILES = \
10078         config/tc-arc.h \
10079         config/tc-arm.h \
10080         config/tc-avr.h \
10081 +       config/tc-avr32.h \
10082         config/tc-bfin.h \
10083         config/tc-cr16.h \
10084         config/tc-cris.h \
10085 --- a/gas/Makefile.in
10086 +++ b/gas/Makefile.in
10087 @@ -378,6 +378,7 @@ TARGET_CPU_CFILES = \
10088         config/tc-arc.c \
10089         config/tc-arm.c \
10090         config/tc-avr.c \
10091 +       config/tc-avr32.c \
10092         config/tc-bfin.c \
10093         config/tc-cr16.c \
10094         config/tc-cris.c \
10095 @@ -442,6 +443,7 @@ TARGET_CPU_HFILES = \
10096         config/tc-arc.h \
10097         config/tc-arm.h \
10098         config/tc-avr.h \
10099 +       config/tc-avr32.h \
10100         config/tc-bfin.h \
10101         config/tc-cr16.h \
10102         config/tc-cris.h \
10103 @@ -785,6 +787,7 @@ distclean-compile:
10104  @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tc-arc.Po@am__quote@
10105  @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tc-arm.Po@am__quote@
10106  @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tc-avr.Po@am__quote@
10107 +@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tc-avr32.Po@am__quote@
10108  @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tc-bfin.Po@am__quote@
10109  @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tc-cr16.Po@am__quote@
10110  @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tc-cris.Po@am__quote@
10111 @@ -923,6 +926,20 @@ tc-avr.obj: config/tc-avr.c
10112  @AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
10113  @am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o tc-avr.obj `if test -f 'config/tc-avr.c'; then $(CYGPATH_W) 'config/tc-avr.c'; else $(CYGPATH_W) '$(srcdir)/config/tc-avr.c'; fi`
10114  
10115 +tc-avr32.o: config/tc-avr32.c
10116 +@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT tc-avr32.o -MD -MP -MF $(DEPDIR)/tc-avr32.Tpo -c -o tc-avr32.o `test -f 'config/tc-avr32.c' || echo '$(srcdir)/'`config/tc-avr32.c
10117 +@am__fastdepCC_TRUE@   $(am__mv) $(DEPDIR)/tc-avr32.Tpo $(DEPDIR)/tc-avr32.Po
10118 +@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='config/tc-avr32.c' object='tc-avr32.o' libtool=no @AMDEPBACKSLASH@
10119 +@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
10120 +@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o tc-avr32.o `test -f 'config/tc-avr32.c' || echo '$(srcdir)/'`config/tc-avr32.c
10121 +
10122 +tc-avr32.obj: config/tc-avr32.c
10123 +@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT tc-avr32.obj -MD -MP -MF $(DEPDIR)/tc-avr32.Tpo -c -o tc-avr32.obj `if test -f 'config/tc-avr32.c'; then $(CYGPATH_W) 'config/tc-avr32.c'; else $(CYGPATH_W) '$(srcdir)/config/tc-avr32.c'; fi`
10124 +@am__fastdepCC_TRUE@   $(am__mv) $(DEPDIR)/tc-avr32.Tpo $(DEPDIR)/tc-avr32.Po
10125 +@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='config/tc-avr32.c' object='tc-avr32.obj' libtool=no @AMDEPBACKSLASH@
10126 +@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
10127 +@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o tc-avr32.obj `if test -f 'config/tc-avr32.c'; then $(CYGPATH_W) 'config/tc-avr32.c'; else $(CYGPATH_W) '$(srcdir)/config/tc-avr32.c'; fi`
10128 +
10129  tc-bfin.o: config/tc-bfin.c
10130  @am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT tc-bfin.o -MD -MP -MF $(DEPDIR)/tc-bfin.Tpo -c -o tc-bfin.o `test -f 'config/tc-bfin.c' || echo '$(srcdir)/'`config/tc-bfin.c
10131  @am__fastdepCC_TRUE@   $(am__mv) $(DEPDIR)/tc-bfin.Tpo $(DEPDIR)/tc-bfin.Po
10132 --- /dev/null
10133 +++ b/gas/testsuite/gas/avr32/aliases.d
10134 @@ -0,0 +1,19 @@
10135 +#as:
10136 +#objdump: -dr
10137 +#name: aliases
10138 +
10139 +.*: +file format .*
10140 +
10141 +Disassembly of section \.text:
10142 +
10143 +00000000 <ld_nodisp>:
10144 +   0:  19 80      [ \t]+ld\.ub r0,r12\[0x0\]
10145 +   2:  f9 20 00 00[ \t]+ld\.sb r0,r12\[0\]
10146 +   6:  98 80      [ \t]+ld\.uh r0,r12\[0x0\]
10147 +   8:  98 00      [ \t]+ld\.sh r0,r12\[0x0\]
10148 +   a:  78 00      [ \t]+ld\.w r0,r12\[0x0\]
10149 +
10150 +0000000c <st_nodisp>:
10151 +   c:  b8 80      [ \t]+st\.b r12\[0x0\],r0
10152 +   e:  b8 00      [ \t]+st\.h r12\[0x0\],r0
10153 +  10:  99 00      [ \t]+st\.w r12\[0x0\],r0
10154 --- /dev/null
10155 +++ b/gas/testsuite/gas/avr32/aliases.s
10156 @@ -0,0 +1,14 @@
10157 +       .text
10158 +       .global ld_nodisp
10159 +ld_nodisp:
10160 +       ld.ub   r0, r12
10161 +       ld.sb   r0, r12
10162 +       ld.uh   r0, r12
10163 +       ld.sh   r0, r12
10164 +       ld.w    r0, r12
10165 +
10166 +       .global st_nodisp
10167 +st_nodisp:
10168 +       st.b    r12, r0
10169 +       st.h    r12, r0
10170 +       st.w    r12, r0
10171 --- /dev/null
10172 +++ b/gas/testsuite/gas/avr32/allinsn.d
10173 @@ -0,0 +1,2987 @@
10174 +#as:
10175 +#objdump: -dr
10176 +#name: allinsn
10177 +
10178 +.*: +file format .*
10179 +
10180 +Disassembly of section \.text:
10181 +
10182 +[0-9a-f]* <ld_d5>:
10183 + *[0-9a-f]*:   fe 0f 02 3e     ld\.d lr,pc\[pc<<0x3\]
10184 + *[0-9a-f]*:   e0 00 02 00     ld\.d r0,r0\[r0\]
10185 + *[0-9a-f]*:   ea 05 02 26     ld\.d r6,r5\[r5<<0x2\]
10186 + *[0-9a-f]*:   e8 04 02 14     ld\.d r4,r4\[r4<<0x1\]
10187 + *[0-9a-f]*:   fc 0e 02 1e     ld\.d lr,lr\[lr<<0x1\]
10188 + *[0-9a-f]*:   e6 0d 02 2a     ld\.d r10,r3\[sp<<0x2\]
10189 + *[0-9a-f]*:   f4 06 02 28     ld\.d r8,r10\[r6<<0x2\]
10190 + *[0-9a-f]*:   ee 09 02 02     ld\.d r2,r7\[r9\]
10191 +
10192 +[0-9a-f]* <ld_w5>:
10193 + *[0-9a-f]*:   fe 0f 03 0f     ld\.w pc,pc\[pc\]
10194 + *[0-9a-f]*:   f8 0c 03 3c     ld\.w r12,r12\[r12<<0x3\]
10195 + *[0-9a-f]*:   ea 05 03 25     ld\.w r5,r5\[r5<<0x2\]
10196 + *[0-9a-f]*:   e8 04 03 14     ld\.w r4,r4\[r4<<0x1\]
10197 + *[0-9a-f]*:   fc 0e 03 1e     ld\.w lr,lr\[lr<<0x1\]
10198 + *[0-9a-f]*:   f2 09 03 02     ld\.w r2,r9\[r9\]
10199 + *[0-9a-f]*:   e4 06 03 0b     ld\.w r11,r2\[r6\]
10200 + *[0-9a-f]*:   e4 0d 03 30     ld\.w r0,r2\[sp<<0x3\]
10201 +
10202 +[0-9a-f]* <ld_sh5>:
10203 + *[0-9a-f]*:   fe 0f 04 0f     ld\.sh pc,pc\[pc\]
10204 + *[0-9a-f]*:   f8 0c 04 3c     ld\.sh r12,r12\[r12<<0x3\]
10205 + *[0-9a-f]*:   ea 05 04 25     ld\.sh r5,r5\[r5<<0x2\]
10206 + *[0-9a-f]*:   e8 04 04 14     ld\.sh r4,r4\[r4<<0x1\]
10207 + *[0-9a-f]*:   fc 0e 04 1e     ld\.sh lr,lr\[lr<<0x1\]
10208 + *[0-9a-f]*:   e0 0f 04 2b     ld\.sh r11,r0\[pc<<0x2\]
10209 + *[0-9a-f]*:   fa 06 04 2a     ld\.sh r10,sp\[r6<<0x2\]
10210 + *[0-9a-f]*:   e4 02 04 0c     ld\.sh r12,r2\[r2\]
10211 +
10212 +[0-9a-f]* <ld_uh5>:
10213 + *[0-9a-f]*:   fe 0f 05 0f     ld\.uh pc,pc\[pc\]
10214 + *[0-9a-f]*:   f8 0c 05 3c     ld\.uh r12,r12\[r12<<0x3\]
10215 + *[0-9a-f]*:   ea 05 05 25     ld\.uh r5,r5\[r5<<0x2\]
10216 + *[0-9a-f]*:   e8 04 05 14     ld\.uh r4,r4\[r4<<0x1\]
10217 + *[0-9a-f]*:   fc 0e 05 1e     ld\.uh lr,lr\[lr<<0x1\]
10218 + *[0-9a-f]*:   fe 0e 05 38     ld\.uh r8,pc\[lr<<0x3\]
10219 + *[0-9a-f]*:   e2 0f 05 16     ld\.uh r6,r1\[pc<<0x1\]
10220 + *[0-9a-f]*:   fc 0d 05 16     ld\.uh r6,lr\[sp<<0x1\]
10221 +
10222 +[0-9a-f]* <ld_sb2>:
10223 + *[0-9a-f]*:   fe 0f 06 0f     ld\.sb pc,pc\[pc\]
10224 + *[0-9a-f]*:   f8 0c 06 3c     ld\.sb r12,r12\[r12<<0x3\]
10225 + *[0-9a-f]*:   ea 05 06 25     ld\.sb r5,r5\[r5<<0x2\]
10226 + *[0-9a-f]*:   e8 04 06 14     ld\.sb r4,r4\[r4<<0x1\]
10227 + *[0-9a-f]*:   fc 0e 06 1e     ld\.sb lr,lr\[lr<<0x1\]
10228 + *[0-9a-f]*:   e2 0f 06 39     ld\.sb r9,r1\[pc<<0x3\]
10229 + *[0-9a-f]*:   e6 0b 06 10     ld\.sb r0,r3\[r11<<0x1\]
10230 + *[0-9a-f]*:   ea 05 06 1a     ld\.sb r10,r5\[r5<<0x1\]
10231 +
10232 +[0-9a-f]* <ld_ub5>:
10233 + *[0-9a-f]*:   fe 0f 07 0f     ld\.ub pc,pc\[pc\]
10234 + *[0-9a-f]*:   f8 0c 07 3c     ld\.ub r12,r12\[r12<<0x3\]
10235 + *[0-9a-f]*:   ea 05 07 25     ld\.ub r5,r5\[r5<<0x2\]
10236 + *[0-9a-f]*:   e8 04 07 14     ld\.ub r4,r4\[r4<<0x1\]
10237 + *[0-9a-f]*:   fc 0e 07 1e     ld\.ub lr,lr\[lr<<0x1\]
10238 + *[0-9a-f]*:   f8 07 07 36     ld\.ub r6,r12\[r7<<0x3\]
10239 + *[0-9a-f]*:   ec 0c 07 02     ld\.ub r2,r6\[r12\]
10240 + *[0-9a-f]*:   ee 0b 07 10     ld\.ub r0,r7\[r11<<0x1\]
10241 +
10242 +[0-9a-f]* <st_d5>:
10243 + *[0-9a-f]*:   fe 0f 08 0e     st\.d pc\[pc\],lr
10244 + *[0-9a-f]*:   f8 0c 08 3c     st\.d r12\[r12<<0x3\],r12
10245 + *[0-9a-f]*:   ea 05 08 26     st\.d r5\[r5<<0x2\],r6
10246 + *[0-9a-f]*:   e8 04 08 14     st\.d r4\[r4<<0x1\],r4
10247 + *[0-9a-f]*:   fc 0e 08 1e     st\.d lr\[lr<<0x1\],lr
10248 + *[0-9a-f]*:   e2 09 08 14     st\.d r1\[r9<<0x1\],r4
10249 + *[0-9a-f]*:   f4 02 08 14     st\.d r10\[r2<<0x1\],r4
10250 + *[0-9a-f]*:   f8 06 08 0e     st\.d r12\[r6\],lr
10251 +
10252 +[0-9a-f]* <st_w5>:
10253 + *[0-9a-f]*:   fe 0f 09 0f     st\.w pc\[pc\],pc
10254 + *[0-9a-f]*:   f8 0c 09 3c     st\.w r12\[r12<<0x3\],r12
10255 + *[0-9a-f]*:   ea 05 09 25     st\.w r5\[r5<<0x2\],r5
10256 + *[0-9a-f]*:   e8 04 09 14     st\.w r4\[r4<<0x1\],r4
10257 + *[0-9a-f]*:   fc 0e 09 1e     st\.w lr\[lr<<0x1\],lr
10258 + *[0-9a-f]*:   e2 0a 09 03     st\.w r1\[r10\],r3
10259 + *[0-9a-f]*:   e0 0a 09 19     st\.w r0\[r10<<0x1\],r9
10260 + *[0-9a-f]*:   e8 05 09 3f     st\.w r4\[r5<<0x3\],pc
10261 +
10262 +[0-9a-f]* <st_h5>:
10263 + *[0-9a-f]*:   fe 0f 0a 0f     st\.h pc\[pc\],pc
10264 + *[0-9a-f]*:   f8 0c 0a 3c     st\.h r12\[r12<<0x3\],r12
10265 + *[0-9a-f]*:   ea 05 0a 25     st\.h r5\[r5<<0x2\],r5
10266 + *[0-9a-f]*:   e8 04 0a 14     st\.h r4\[r4<<0x1\],r4
10267 + *[0-9a-f]*:   fc 0e 0a 1e     st\.h lr\[lr<<0x1\],lr
10268 + *[0-9a-f]*:   e4 09 0a 0b     st\.h r2\[r9\],r11
10269 + *[0-9a-f]*:   ea 01 0a 2c     st\.h r5\[r1<<0x2\],r12
10270 + *[0-9a-f]*:   fe 08 0a 23     st\.h pc\[r8<<0x2\],r3
10271 +
10272 +[0-9a-f]* <st_b5>:
10273 + *[0-9a-f]*:   fe 0f 0b 0f     st\.b pc\[pc\],pc
10274 + *[0-9a-f]*:   f8 0c 0b 3c     st\.b r12\[r12<<0x3\],r12
10275 + *[0-9a-f]*:   ea 05 0b 25     st\.b r5\[r5<<0x2\],r5
10276 + *[0-9a-f]*:   e8 04 0b 14     st\.b r4\[r4<<0x1\],r4
10277 + *[0-9a-f]*:   fc 0e 0b 1e     st\.b lr\[lr<<0x1\],lr
10278 + *[0-9a-f]*:   e2 08 0b 16     st\.b r1\[r8<<0x1\],r6
10279 + *[0-9a-f]*:   fc 0e 0b 31     st\.b lr\[lr<<0x3\],r1
10280 + *[0-9a-f]*:   ea 00 0b 2f     st\.b r5\[r0<<0x2\],pc
10281 +
10282 +[0-9a-f]* <divs>:
10283 + *[0-9a-f]*:   fe 0f 0c 0f     divs pc,pc,pc
10284 + *[0-9a-f]*:   f8 0c 0c 0c     divs r12,r12,r12
10285 + *[0-9a-f]*:   ea 05 0c 05     divs r5,r5,r5
10286 + *[0-9a-f]*:   e8 04 0c 04     divs r4,r4,r4
10287 + *[0-9a-f]*:   fc 0e 0c 0e     divs lr,lr,lr
10288 + *[0-9a-f]*:   fe 0f 0c 03     divs r3,pc,pc
10289 + *[0-9a-f]*:   f8 02 0c 09     divs r9,r12,r2
10290 + *[0-9a-f]*:   e8 01 0c 07     divs r7,r4,r1
10291 +
10292 +[0-9a-f]* <add1>:
10293 + *[0-9a-f]*:   1e 0f           add pc,pc
10294 + *[0-9a-f]*:   18 0c           add r12,r12
10295 + *[0-9a-f]*:   0a 05           add r5,r5
10296 + *[0-9a-f]*:   08 04           add r4,r4
10297 + *[0-9a-f]*:   1c 0e           add lr,lr
10298 + *[0-9a-f]*:   12 0c           add r12,r9
10299 + *[0-9a-f]*:   06 06           add r6,r3
10300 + *[0-9a-f]*:   18 0a           add r10,r12
10301 +
10302 +[0-9a-f]* <sub1>:
10303 + *[0-9a-f]*:   1e 1f           sub pc,pc
10304 + *[0-9a-f]*:   18 1c           sub r12,r12
10305 + *[0-9a-f]*:   0a 15           sub r5,r5
10306 + *[0-9a-f]*:   08 14           sub r4,r4
10307 + *[0-9a-f]*:   1c 1e           sub lr,lr
10308 + *[0-9a-f]*:   0c 1e           sub lr,r6
10309 + *[0-9a-f]*:   1a 10           sub r0,sp
10310 + *[0-9a-f]*:   18 16           sub r6,r12
10311 +
10312 +[0-9a-f]* <rsub1>:
10313 + *[0-9a-f]*:   1e 2f           rsub pc,pc
10314 + *[0-9a-f]*:   18 2c           rsub r12,r12
10315 + *[0-9a-f]*:   0a 25           rsub r5,r5
10316 + *[0-9a-f]*:   08 24           rsub r4,r4
10317 + *[0-9a-f]*:   1c 2e           rsub lr,lr
10318 + *[0-9a-f]*:   1a 2b           rsub r11,sp
10319 + *[0-9a-f]*:   08 27           rsub r7,r4
10320 + *[0-9a-f]*:   02 29           rsub r9,r1
10321 +
10322 +[0-9a-f]* <cp1>:
10323 + *[0-9a-f]*:   1e 3f           cp\.w pc,pc
10324 + *[0-9a-f]*:   18 3c           cp\.w r12,r12
10325 + *[0-9a-f]*:   0a 35           cp\.w r5,r5
10326 + *[0-9a-f]*:   08 34           cp\.w r4,r4
10327 + *[0-9a-f]*:   1c 3e           cp\.w lr,lr
10328 + *[0-9a-f]*:   04 36           cp\.w r6,r2
10329 + *[0-9a-f]*:   12 30           cp\.w r0,r9
10330 + *[0-9a-f]*:   1a 33           cp\.w r3,sp
10331 +
10332 +[0-9a-f]* <or1>:
10333 + *[0-9a-f]*:   1e 4f           or pc,pc
10334 + *[0-9a-f]*:   18 4c           or r12,r12
10335 + *[0-9a-f]*:   0a 45           or r5,r5
10336 + *[0-9a-f]*:   08 44           or r4,r4
10337 + *[0-9a-f]*:   1c 4e           or lr,lr
10338 + *[0-9a-f]*:   12 44           or r4,r9
10339 + *[0-9a-f]*:   08 4b           or r11,r4
10340 + *[0-9a-f]*:   00 44           or r4,r0
10341 +
10342 +[0-9a-f]* <eor1>:
10343 + *[0-9a-f]*:   1e 5f           eor pc,pc
10344 + *[0-9a-f]*:   18 5c           eor r12,r12
10345 + *[0-9a-f]*:   0a 55           eor r5,r5
10346 + *[0-9a-f]*:   08 54           eor r4,r4
10347 + *[0-9a-f]*:   1c 5e           eor lr,lr
10348 + *[0-9a-f]*:   16 5c           eor r12,r11
10349 + *[0-9a-f]*:   02 50           eor r0,r1
10350 + *[0-9a-f]*:   1e 55           eor r5,pc
10351 +
10352 +[0-9a-f]* <and1>:
10353 + *[0-9a-f]*:   1e 6f           and pc,pc
10354 + *[0-9a-f]*:   18 6c           and r12,r12
10355 + *[0-9a-f]*:   0a 65           and r5,r5
10356 + *[0-9a-f]*:   08 64           and r4,r4
10357 + *[0-9a-f]*:   1c 6e           and lr,lr
10358 + *[0-9a-f]*:   02 68           and r8,r1
10359 + *[0-9a-f]*:   1a 60           and r0,sp
10360 + *[0-9a-f]*:   0a 6a           and r10,r5
10361 +
10362 +[0-9a-f]* <tst>:
10363 + *[0-9a-f]*:   1e 7f           tst pc,pc
10364 + *[0-9a-f]*:   18 7c           tst r12,r12
10365 + *[0-9a-f]*:   0a 75           tst r5,r5
10366 + *[0-9a-f]*:   08 74           tst r4,r4
10367 + *[0-9a-f]*:   1c 7e           tst lr,lr
10368 + *[0-9a-f]*:   18 70           tst r0,r12
10369 + *[0-9a-f]*:   0c 7a           tst r10,r6
10370 + *[0-9a-f]*:   08 7d           tst sp,r4
10371 +
10372 +[0-9a-f]* <andn>:
10373 + *[0-9a-f]*:   1e 8f           andn pc,pc
10374 + *[0-9a-f]*:   18 8c           andn r12,r12
10375 + *[0-9a-f]*:   0a 85           andn r5,r5
10376 + *[0-9a-f]*:   08 84           andn r4,r4
10377 + *[0-9a-f]*:   1c 8e           andn lr,lr
10378 + *[0-9a-f]*:   18 89           andn r9,r12
10379 + *[0-9a-f]*:   1a 8b           andn r11,sp
10380 + *[0-9a-f]*:   0a 8c           andn r12,r5
10381 +
10382 +[0-9a-f]* <mov3>:
10383 + *[0-9a-f]*:   1e 9f           mov pc,pc
10384 + *[0-9a-f]*:   18 9c           mov r12,r12
10385 + *[0-9a-f]*:   0a 95           mov r5,r5
10386 + *[0-9a-f]*:   08 94           mov r4,r4
10387 + *[0-9a-f]*:   1c 9e           mov lr,lr
10388 + *[0-9a-f]*:   12 95           mov r5,r9
10389 + *[0-9a-f]*:   16 9b           mov r11,r11
10390 + *[0-9a-f]*:   1c 92           mov r2,lr
10391 +
10392 +[0-9a-f]* <st_w1>:
10393 + *[0-9a-f]*:   1e af           st\.w pc\+\+,pc
10394 + *[0-9a-f]*:   18 ac           st\.w r12\+\+,r12
10395 + *[0-9a-f]*:   0a a5           st\.w r5\+\+,r5
10396 + *[0-9a-f]*:   08 a4           st\.w r4\+\+,r4
10397 + *[0-9a-f]*:   1c ae           st\.w lr\+\+,lr
10398 + *[0-9a-f]*:   02 ab           st\.w r1\+\+,r11
10399 + *[0-9a-f]*:   1a a0           st\.w sp\+\+,r0
10400 + *[0-9a-f]*:   1a a1           st\.w sp\+\+,r1
10401 +
10402 +[0-9a-f]* <st_h1>:
10403 + *[0-9a-f]*:   1e bf           st\.h pc\+\+,pc
10404 + *[0-9a-f]*:   18 bc           st\.h r12\+\+,r12
10405 + *[0-9a-f]*:   0a b5           st\.h r5\+\+,r5
10406 + *[0-9a-f]*:   08 b4           st\.h r4\+\+,r4
10407 + *[0-9a-f]*:   1c be           st\.h lr\+\+,lr
10408 + *[0-9a-f]*:   18 bd           st\.h r12\+\+,sp
10409 + *[0-9a-f]*:   0e be           st\.h r7\+\+,lr
10410 + *[0-9a-f]*:   0e b4           st\.h r7\+\+,r4
10411 +
10412 +[0-9a-f]* <st_b1>:
10413 + *[0-9a-f]*:   1e cf           st\.b pc\+\+,pc
10414 + *[0-9a-f]*:   18 cc           st\.b r12\+\+,r12
10415 + *[0-9a-f]*:   0a c5           st\.b r5\+\+,r5
10416 + *[0-9a-f]*:   08 c4           st\.b r4\+\+,r4
10417 + *[0-9a-f]*:   1c ce           st\.b lr\+\+,lr
10418 + *[0-9a-f]*:   12 cd           st\.b r9\+\+,sp
10419 + *[0-9a-f]*:   02 cd           st\.b r1\+\+,sp
10420 + *[0-9a-f]*:   00 c4           st\.b r0\+\+,r4
10421 +
10422 +[0-9a-f]* <st_w2>:
10423 + *[0-9a-f]*:   1e df           st\.w --pc,pc
10424 + *[0-9a-f]*:   18 dc           st\.w --r12,r12
10425 + *[0-9a-f]*:   0a d5           st\.w --r5,r5
10426 + *[0-9a-f]*:   08 d4           st\.w --r4,r4
10427 + *[0-9a-f]*:   1c de           st\.w --lr,lr
10428 + *[0-9a-f]*:   02 d7           st\.w --r1,r7
10429 + *[0-9a-f]*:   06 d9           st\.w --r3,r9
10430 + *[0-9a-f]*:   0a d5           st\.w --r5,r5
10431 +
10432 +[0-9a-f]* <st_h2>:
10433 + *[0-9a-f]*:   1e ef           st\.h --pc,pc
10434 + *[0-9a-f]*:   18 ec           st\.h --r12,r12
10435 + *[0-9a-f]*:   0a e5           st\.h --r5,r5
10436 + *[0-9a-f]*:   08 e4           st\.h --r4,r4
10437 + *[0-9a-f]*:   1c ee           st\.h --lr,lr
10438 + *[0-9a-f]*:   0a e7           st\.h --r5,r7
10439 + *[0-9a-f]*:   10 e8           st\.h --r8,r8
10440 + *[0-9a-f]*:   0e e2           st\.h --r7,r2
10441 +
10442 +[0-9a-f]* <st_b2>:
10443 + *[0-9a-f]*:   1e ff           st\.b --pc,pc
10444 + *[0-9a-f]*:   18 fc           st\.b --r12,r12
10445 + *[0-9a-f]*:   0a f5           st\.b --r5,r5
10446 + *[0-9a-f]*:   08 f4           st\.b --r4,r4
10447 + *[0-9a-f]*:   1c fe           st\.b --lr,lr
10448 + *[0-9a-f]*:   1a fd           st\.b --sp,sp
10449 + *[0-9a-f]*:   1a fb           st\.b --sp,r11
10450 + *[0-9a-f]*:   08 f5           st\.b --r4,r5
10451 +
10452 +[0-9a-f]* <ld_w1>:
10453 + *[0-9a-f]*:   1f 0f           ld\.w pc,pc\+\+
10454 + *[0-9a-f]*:   19 0c           ld\.w r12,r12\+\+
10455 + *[0-9a-f]*:   0b 05           ld\.w r5,r5\+\+
10456 + *[0-9a-f]*:   09 04           ld\.w r4,r4\+\+
10457 + *[0-9a-f]*:   1d 0e           ld\.w lr,lr\+\+
10458 + *[0-9a-f]*:   0f 03           ld\.w r3,r7\+\+
10459 + *[0-9a-f]*:   1d 03           ld\.w r3,lr\+\+
10460 + *[0-9a-f]*:   0b 0c           ld\.w r12,r5\+\+
10461 +
10462 +[0-9a-f]* <ld_sh1>:
10463 + *[0-9a-f]*:   1f 1f           ld\.sh pc,pc\+\+
10464 + *[0-9a-f]*:   19 1c           ld\.sh r12,r12\+\+
10465 + *[0-9a-f]*:   0b 15           ld\.sh r5,r5\+\+
10466 + *[0-9a-f]*:   09 14           ld\.sh r4,r4\+\+
10467 + *[0-9a-f]*:   1d 1e           ld\.sh lr,lr\+\+
10468 + *[0-9a-f]*:   05 1b           ld\.sh r11,r2\+\+
10469 + *[0-9a-f]*:   11 12           ld\.sh r2,r8\+\+
10470 + *[0-9a-f]*:   0d 17           ld\.sh r7,r6\+\+
10471 +
10472 +[0-9a-f]* <ld_uh1>:
10473 + *[0-9a-f]*:   1f 2f           ld\.uh pc,pc\+\+
10474 + *[0-9a-f]*:   19 2c           ld\.uh r12,r12\+\+
10475 + *[0-9a-f]*:   0b 25           ld\.uh r5,r5\+\+
10476 + *[0-9a-f]*:   09 24           ld\.uh r4,r4\+\+
10477 + *[0-9a-f]*:   1d 2e           ld\.uh lr,lr\+\+
10478 + *[0-9a-f]*:   0f 26           ld\.uh r6,r7\+\+
10479 + *[0-9a-f]*:   17 2a           ld\.uh r10,r11\+\+
10480 + *[0-9a-f]*:   09 2e           ld\.uh lr,r4\+\+
10481 +
10482 +[0-9a-f]* <ld_ub1>:
10483 + *[0-9a-f]*:   1f 3f           ld\.ub pc,pc\+\+
10484 + *[0-9a-f]*:   19 3c           ld\.ub r12,r12\+\+
10485 + *[0-9a-f]*:   0b 35           ld\.ub r5,r5\+\+
10486 + *[0-9a-f]*:   09 34           ld\.ub r4,r4\+\+
10487 + *[0-9a-f]*:   1d 3e           ld\.ub lr,lr\+\+
10488 + *[0-9a-f]*:   1d 38           ld\.ub r8,lr\+\+
10489 + *[0-9a-f]*:   19 3c           ld\.ub r12,r12\+\+
10490 + *[0-9a-f]*:   15 3b           ld\.ub r11,r10\+\+
10491 +
10492 +[0-9a-f]* <ld_w2>:
10493 + *[0-9a-f]*:   1f 4f           ld\.w pc,--pc
10494 + *[0-9a-f]*:   19 4c           ld\.w r12,--r12
10495 + *[0-9a-f]*:   0b 45           ld\.w r5,--r5
10496 + *[0-9a-f]*:   09 44           ld\.w r4,--r4
10497 + *[0-9a-f]*:   1d 4e           ld\.w lr,--lr
10498 + *[0-9a-f]*:   1d 4a           ld\.w r10,--lr
10499 + *[0-9a-f]*:   13 4c           ld\.w r12,--r9
10500 + *[0-9a-f]*:   0b 46           ld\.w r6,--r5
10501 +
10502 +[0-9a-f]* <ld_sh2>:
10503 + *[0-9a-f]*:   1f 5f           ld\.sh pc,--pc
10504 + *[0-9a-f]*:   19 5c           ld\.sh r12,--r12
10505 + *[0-9a-f]*:   0b 55           ld\.sh r5,--r5
10506 + *[0-9a-f]*:   09 54           ld\.sh r4,--r4
10507 + *[0-9a-f]*:   1d 5e           ld\.sh lr,--lr
10508 + *[0-9a-f]*:   15 5f           ld\.sh pc,--r10
10509 + *[0-9a-f]*:   07 56           ld\.sh r6,--r3
10510 + *[0-9a-f]*:   0d 54           ld\.sh r4,--r6
10511 +
10512 +[0-9a-f]* <ld_uh2>:
10513 + *[0-9a-f]*:   1f 6f           ld\.uh pc,--pc
10514 + *[0-9a-f]*:   19 6c           ld\.uh r12,--r12
10515 + *[0-9a-f]*:   0b 65           ld\.uh r5,--r5
10516 + *[0-9a-f]*:   09 64           ld\.uh r4,--r4
10517 + *[0-9a-f]*:   1d 6e           ld\.uh lr,--lr
10518 + *[0-9a-f]*:   05 63           ld\.uh r3,--r2
10519 + *[0-9a-f]*:   01 61           ld\.uh r1,--r0
10520 + *[0-9a-f]*:   13 62           ld\.uh r2,--r9
10521 +
10522 +[0-9a-f]* <ld_ub2>:
10523 + *[0-9a-f]*:   1f 7f           ld\.ub pc,--pc
10524 + *[0-9a-f]*:   19 7c           ld\.ub r12,--r12
10525 + *[0-9a-f]*:   0b 75           ld\.ub r5,--r5
10526 + *[0-9a-f]*:   09 74           ld\.ub r4,--r4
10527 + *[0-9a-f]*:   1d 7e           ld\.ub lr,--lr
10528 + *[0-9a-f]*:   03 71           ld\.ub r1,--r1
10529 + *[0-9a-f]*:   0d 70           ld\.ub r0,--r6
10530 + *[0-9a-f]*:   0f 72           ld\.ub r2,--r7
10531 +
10532 +[0-9a-f]* <ld_ub3>:
10533 + *[0-9a-f]*:   1f 8f           ld\.ub pc,pc\[0x0\]
10534 + *[0-9a-f]*:   19 fc           ld\.ub r12,r12\[0x7\]
10535 + *[0-9a-f]*:   0b c5           ld\.ub r5,r5\[0x4\]
10536 + *[0-9a-f]*:   09 b4           ld\.ub r4,r4\[0x3\]
10537 + *[0-9a-f]*:   1d 9e           ld\.ub lr,lr\[0x1\]
10538 + *[0-9a-f]*:   13 e6           ld\.ub r6,r9\[0x6\]
10539 + *[0-9a-f]*:   1d c2           ld\.ub r2,lr\[0x4\]
10540 + *[0-9a-f]*:   11 81           ld\.ub r1,r8\[0x0\]
10541 +
10542 +[0-9a-f]* <sub3_sp>:
10543 + *[0-9a-f]*:   20 0d           sub sp,0
10544 + *[0-9a-f]*:   2f fd           sub sp,-4
10545 + *[0-9a-f]*:   28 0d           sub sp,-512
10546 + *[0-9a-f]*:   27 fd           sub sp,508
10547 + *[0-9a-f]*:   20 1d           sub sp,4
10548 + *[0-9a-f]*:   20 bd           sub sp,44
10549 + *[0-9a-f]*:   20 2d           sub sp,8
10550 + *[0-9a-f]*:   25 7d           sub sp,348
10551 +
10552 +[0-9a-f]* <sub3>:
10553 + *[0-9a-f]*:   20 0f           sub pc,0
10554 + *[0-9a-f]*:   2f fc           sub r12,-1
10555 + *[0-9a-f]*:   28 05           sub r5,-128
10556 + *[0-9a-f]*:   27 f4           sub r4,127
10557 + *[0-9a-f]*:   20 1e           sub lr,1
10558 + *[0-9a-f]*:   2d 76           sub r6,-41
10559 + *[0-9a-f]*:   22 54           sub r4,37
10560 + *[0-9a-f]*:   23 8c           sub r12,56
10561 +
10562 +[0-9a-f]* <mov1>:
10563 + *[0-9a-f]*:   30 0f           mov pc,0
10564 + *[0-9a-f]*:   3f fc           mov r12,-1
10565 + *[0-9a-f]*:   38 05           mov r5,-128
10566 + *[0-9a-f]*:   37 f4           mov r4,127
10567 + *[0-9a-f]*:   30 1e           mov lr,1
10568 + *[0-9a-f]*:   30 ef           mov pc,14
10569 + *[0-9a-f]*:   39 c6           mov r6,-100
10570 + *[0-9a-f]*:   38 6e           mov lr,-122
10571 +
10572 +[0-9a-f]* <lddsp>:
10573 + *[0-9a-f]*:   40 0f           lddsp pc,sp\[0x0\]
10574 + *[0-9a-f]*:   47 fc           lddsp r12,sp\[0x1fc\]
10575 + *[0-9a-f]*:   44 05           lddsp r5,sp\[0x100\]
10576 + *[0-9a-f]*:   43 f4           lddsp r4,sp\[0xfc\]
10577 + *[0-9a-f]*:   40 1e           lddsp lr,sp\[0x4\]
10578 + *[0-9a-f]*:   44 0e           lddsp lr,sp\[0x100\]
10579 + *[0-9a-f]*:   40 5c           lddsp r12,sp\[0x14\]
10580 + *[0-9a-f]*:   47 69           lddsp r9,sp\[0x1d8\]
10581 +
10582 +[0-9a-f]* <lddpc>:
10583 + *[0-9a-f]*:   48 0f           lddpc pc,[0-9a-f]* <.*>
10584 + *[0-9a-f]*:   4f f0           lddpc r0,[0-9a-f]* <.*>
10585 + *[0-9a-f]*:   4c 08           lddpc r8,[0-9a-f]* <.*>
10586 + *[0-9a-f]*:   4b f7           lddpc r7,[0-9a-f]* <.*>
10587 + *[0-9a-f]*:   48 1e           lddpc lr,[0-9a-f]* <.*>
10588 + *[0-9a-f]*:   4f 6d           lddpc sp,[0-9a-f]* <.*>
10589 + *[0-9a-f]*:   49 e6           lddpc r6,[0-9a-f]* <.*>
10590 + *[0-9a-f]*:   48 7b           lddpc r11,[0-9a-f]* <.*>
10591 +
10592 +[0-9a-f]* <stdsp>:
10593 + *[0-9a-f]*:   50 0f           stdsp sp\[0x0\],pc
10594 + *[0-9a-f]*:   57 fc           stdsp sp\[0x1fc\],r12
10595 + *[0-9a-f]*:   54 05           stdsp sp\[0x100\],r5
10596 + *[0-9a-f]*:   53 f4           stdsp sp\[0xfc\],r4
10597 + *[0-9a-f]*:   50 1e           stdsp sp\[0x4\],lr
10598 + *[0-9a-f]*:   54 cf           stdsp sp\[0x130\],pc
10599 + *[0-9a-f]*:   54 00           stdsp sp\[0x100\],r0
10600 + *[0-9a-f]*:   55 45           stdsp sp\[0x150\],r5
10601 +
10602 +[0-9a-f]* <cp2>:
10603 + *[0-9a-f]*:   58 0f           cp.w pc,0
10604 + *[0-9a-f]*:   5b fc           cp.w r12,-1
10605 + *[0-9a-f]*:   5a 05           cp.w r5,-32
10606 + *[0-9a-f]*:   59 f4           cp.w r4,31
10607 + *[0-9a-f]*:   58 1e           cp.w lr,1
10608 + *[0-9a-f]*:   58 38           cp.w r8,3
10609 + *[0-9a-f]*:   59 0e           cp.w lr,16
10610 + *[0-9a-f]*:   5a 67           cp.w r7,-26
10611 +
10612 +[0-9a-f]* <acr>:
10613 + *[0-9a-f]*:   5c 0f           acr pc
10614 + *[0-9a-f]*:   5c 0c           acr r12
10615 + *[0-9a-f]*:   5c 05           acr r5
10616 + *[0-9a-f]*:   5c 04           acr r4
10617 + *[0-9a-f]*:   5c 0e           acr lr
10618 + *[0-9a-f]*:   5c 02           acr r2
10619 + *[0-9a-f]*:   5c 0c           acr r12
10620 + *[0-9a-f]*:   5c 0f           acr pc
10621 +
10622 +[0-9a-f]* <scr>:
10623 + *[0-9a-f]*:   5c 1f           scr pc
10624 + *[0-9a-f]*:   5c 1c           scr r12
10625 + *[0-9a-f]*:   5c 15           scr r5
10626 + *[0-9a-f]*:   5c 14           scr r4
10627 + *[0-9a-f]*:   5c 1e           scr lr
10628 + *[0-9a-f]*:   5c 1f           scr pc
10629 + *[0-9a-f]*:   5c 16           scr r6
10630 + *[0-9a-f]*:   5c 11           scr r1
10631 +
10632 +[0-9a-f]* <cpc0>:
10633 + *[0-9a-f]*:   5c 2f           cpc pc
10634 + *[0-9a-f]*:   5c 2c           cpc r12
10635 + *[0-9a-f]*:   5c 25           cpc r5
10636 + *[0-9a-f]*:   5c 24           cpc r4
10637 + *[0-9a-f]*:   5c 2e           cpc lr
10638 + *[0-9a-f]*:   5c 2f           cpc pc
10639 + *[0-9a-f]*:   5c 24           cpc r4
10640 + *[0-9a-f]*:   5c 29           cpc r9
10641 +
10642 +[0-9a-f]* <neg>:
10643 + *[0-9a-f]*:   5c 3f           neg pc
10644 + *[0-9a-f]*:   5c 3c           neg r12
10645 + *[0-9a-f]*:   5c 35           neg r5
10646 + *[0-9a-f]*:   5c 34           neg r4
10647 + *[0-9a-f]*:   5c 3e           neg lr
10648 + *[0-9a-f]*:   5c 37           neg r7
10649 + *[0-9a-f]*:   5c 31           neg r1
10650 + *[0-9a-f]*:   5c 39           neg r9
10651 +
10652 +[0-9a-f]* <abs>:
10653 + *[0-9a-f]*:   5c 4f           abs pc
10654 + *[0-9a-f]*:   5c 4c           abs r12
10655 + *[0-9a-f]*:   5c 45           abs r5
10656 + *[0-9a-f]*:   5c 44           abs r4
10657 + *[0-9a-f]*:   5c 4e           abs lr
10658 + *[0-9a-f]*:   5c 46           abs r6
10659 + *[0-9a-f]*:   5c 46           abs r6
10660 + *[0-9a-f]*:   5c 44           abs r4
10661 +
10662 +[0-9a-f]* <castu_b>:
10663 + *[0-9a-f]*:   5c 5f           castu\.b pc
10664 + *[0-9a-f]*:   5c 5c           castu\.b r12
10665 + *[0-9a-f]*:   5c 55           castu\.b r5
10666 + *[0-9a-f]*:   5c 54           castu\.b r4
10667 + *[0-9a-f]*:   5c 5e           castu\.b lr
10668 + *[0-9a-f]*:   5c 57           castu\.b r7
10669 + *[0-9a-f]*:   5c 5d           castu\.b sp
10670 + *[0-9a-f]*:   5c 59           castu\.b r9
10671 +
10672 +[0-9a-f]* <casts_b>:
10673 + *[0-9a-f]*:   5c 6f           casts\.b pc
10674 + *[0-9a-f]*:   5c 6c           casts\.b r12
10675 + *[0-9a-f]*:   5c 65           casts\.b r5
10676 + *[0-9a-f]*:   5c 64           casts\.b r4
10677 + *[0-9a-f]*:   5c 6e           casts\.b lr
10678 + *[0-9a-f]*:   5c 6b           casts\.b r11
10679 + *[0-9a-f]*:   5c 61           casts\.b r1
10680 + *[0-9a-f]*:   5c 6a           casts\.b r10
10681 +
10682 +[0-9a-f]* <castu_h>:
10683 + *[0-9a-f]*:   5c 7f           castu\.h pc
10684 + *[0-9a-f]*:   5c 7c           castu\.h r12
10685 + *[0-9a-f]*:   5c 75           castu\.h r5
10686 + *[0-9a-f]*:   5c 74           castu\.h r4
10687 + *[0-9a-f]*:   5c 7e           castu\.h lr
10688 + *[0-9a-f]*:   5c 7a           castu\.h r10
10689 + *[0-9a-f]*:   5c 7b           castu\.h r11
10690 + *[0-9a-f]*:   5c 71           castu\.h r1
10691 +
10692 +[0-9a-f]* <casts_h>:
10693 + *[0-9a-f]*:   5c 8f           casts\.h pc
10694 + *[0-9a-f]*:   5c 8c           casts\.h r12
10695 + *[0-9a-f]*:   5c 85           casts\.h r5
10696 + *[0-9a-f]*:   5c 84           casts\.h r4
10697 + *[0-9a-f]*:   5c 8e           casts\.h lr
10698 + *[0-9a-f]*:   5c 80           casts\.h r0
10699 + *[0-9a-f]*:   5c 85           casts\.h r5
10700 + *[0-9a-f]*:   5c 89           casts\.h r9
10701 +
10702 +[0-9a-f]* <brev>:
10703 + *[0-9a-f]*:   5c 9f           brev pc
10704 + *[0-9a-f]*:   5c 9c           brev r12
10705 + *[0-9a-f]*:   5c 95           brev r5
10706 + *[0-9a-f]*:   5c 94           brev r4
10707 + *[0-9a-f]*:   5c 9e           brev lr
10708 + *[0-9a-f]*:   5c 95           brev r5
10709 + *[0-9a-f]*:   5c 9a           brev r10
10710 + *[0-9a-f]*:   5c 98           brev r8
10711 +
10712 +[0-9a-f]* <swap_h>:
10713 + *[0-9a-f]*:   5c af           swap\.h pc
10714 + *[0-9a-f]*:   5c ac           swap\.h r12
10715 + *[0-9a-f]*:   5c a5           swap\.h r5
10716 + *[0-9a-f]*:   5c a4           swap\.h r4
10717 + *[0-9a-f]*:   5c ae           swap\.h lr
10718 + *[0-9a-f]*:   5c a7           swap\.h r7
10719 + *[0-9a-f]*:   5c a0           swap\.h r0
10720 + *[0-9a-f]*:   5c a8           swap\.h r8
10721 +
10722 +[0-9a-f]* <swap_b>:
10723 + *[0-9a-f]*:   5c bf           swap\.b pc
10724 + *[0-9a-f]*:   5c bc           swap\.b r12
10725 + *[0-9a-f]*:   5c b5           swap\.b r5
10726 + *[0-9a-f]*:   5c b4           swap\.b r4
10727 + *[0-9a-f]*:   5c be           swap\.b lr
10728 + *[0-9a-f]*:   5c ba           swap\.b r10
10729 + *[0-9a-f]*:   5c bc           swap\.b r12
10730 + *[0-9a-f]*:   5c b1           swap\.b r1
10731 +
10732 +[0-9a-f]* <swap_bh>:
10733 + *[0-9a-f]*:   5c cf           swap\.bh pc
10734 + *[0-9a-f]*:   5c cc           swap\.bh r12
10735 + *[0-9a-f]*:   5c c5           swap\.bh r5
10736 + *[0-9a-f]*:   5c c4           swap\.bh r4
10737 + *[0-9a-f]*:   5c ce           swap\.bh lr
10738 + *[0-9a-f]*:   5c c9           swap\.bh r9
10739 + *[0-9a-f]*:   5c c4           swap\.bh r4
10740 + *[0-9a-f]*:   5c c1           swap\.bh r1
10741 +
10742 +[0-9a-f]* <One_s_compliment>:
10743 + *[0-9a-f]*:   5c df           com pc
10744 + *[0-9a-f]*:   5c dc           com r12
10745 + *[0-9a-f]*:   5c d5           com r5
10746 + *[0-9a-f]*:   5c d4           com r4
10747 + *[0-9a-f]*:   5c de           com lr
10748 + *[0-9a-f]*:   5c d2           com r2
10749 + *[0-9a-f]*:   5c d2           com r2
10750 + *[0-9a-f]*:   5c d7           com r7
10751 +
10752 +[0-9a-f]* <tnbz>:
10753 + *[0-9a-f]*:   5c ef           tnbz pc
10754 + *[0-9a-f]*:   5c ec           tnbz r12
10755 + *[0-9a-f]*:   5c e5           tnbz r5
10756 + *[0-9a-f]*:   5c e4           tnbz r4
10757 + *[0-9a-f]*:   5c ee           tnbz lr
10758 + *[0-9a-f]*:   5c e8           tnbz r8
10759 + *[0-9a-f]*:   5c ec           tnbz r12
10760 + *[0-9a-f]*:   5c ef           tnbz pc
10761 +
10762 +[0-9a-f]* <rol>:
10763 + *[0-9a-f]*:   5c ff           rol pc
10764 + *[0-9a-f]*:   5c fc           rol r12
10765 + *[0-9a-f]*:   5c f5           rol r5
10766 + *[0-9a-f]*:   5c f4           rol r4
10767 + *[0-9a-f]*:   5c fe           rol lr
10768 + *[0-9a-f]*:   5c fa           rol r10
10769 + *[0-9a-f]*:   5c f9           rol r9
10770 + *[0-9a-f]*:   5c f5           rol r5
10771 +
10772 +[0-9a-f]* <ror>:
10773 + *[0-9a-f]*:   5d 0f           ror pc
10774 + *[0-9a-f]*:   5d 0c           ror r12
10775 + *[0-9a-f]*:   5d 05           ror r5
10776 + *[0-9a-f]*:   5d 04           ror r4
10777 + *[0-9a-f]*:   5d 0e           ror lr
10778 + *[0-9a-f]*:   5d 08           ror r8
10779 + *[0-9a-f]*:   5d 04           ror r4
10780 + *[0-9a-f]*:   5d 07           ror r7
10781 +
10782 +[0-9a-f]* <icall>:
10783 + *[0-9a-f]*:   5d 1f           icall pc
10784 + *[0-9a-f]*:   5d 1c           icall r12
10785 + *[0-9a-f]*:   5d 15           icall r5
10786 + *[0-9a-f]*:   5d 14           icall r4
10787 + *[0-9a-f]*:   5d 1e           icall lr
10788 + *[0-9a-f]*:   5d 13           icall r3
10789 + *[0-9a-f]*:   5d 11           icall r1
10790 + *[0-9a-f]*:   5d 13           icall r3
10791 +
10792 +[0-9a-f]* <mustr>:
10793 + *[0-9a-f]*:   5d 2f           mustr pc
10794 + *[0-9a-f]*:   5d 2c           mustr r12
10795 + *[0-9a-f]*:   5d 25           mustr r5
10796 + *[0-9a-f]*:   5d 24           mustr r4
10797 + *[0-9a-f]*:   5d 2e           mustr lr
10798 + *[0-9a-f]*:   5d 21           mustr r1
10799 + *[0-9a-f]*:   5d 24           mustr r4
10800 + *[0-9a-f]*:   5d 2c           mustr r12
10801 +
10802 +[0-9a-f]* <musfr>:
10803 + *[0-9a-f]*:   5d 3f           musfr pc
10804 + *[0-9a-f]*:   5d 3c           musfr r12
10805 + *[0-9a-f]*:   5d 35           musfr r5
10806 + *[0-9a-f]*:   5d 34           musfr r4
10807 + *[0-9a-f]*:   5d 3e           musfr lr
10808 + *[0-9a-f]*:   5d 3b           musfr r11
10809 + *[0-9a-f]*:   5d 3c           musfr r12
10810 + *[0-9a-f]*:   5d 32           musfr r2
10811 +
10812 +[0-9a-f]* <ret_cond>:
10813 + *[0-9a-f]*:   5e 0f           reteq 1
10814 + *[0-9a-f]*:   5e fc           retal r12
10815 + *[0-9a-f]*:   5e 85           retls r5
10816 + *[0-9a-f]*:   5e 74           retpl r4
10817 + *[0-9a-f]*:   5e 1e           retne -1
10818 + *[0-9a-f]*:   5e 90           retgt r0
10819 + *[0-9a-f]*:   5e 9c           retgt r12
10820 + *[0-9a-f]*:   5e 4a           retge r10
10821 +
10822 +[0-9a-f]* <sr_cond>:
10823 + *[0-9a-f]*:   5f 0f           sreq pc
10824 + *[0-9a-f]*:   5f fc           sral r12
10825 + *[0-9a-f]*:   5f 85           srls r5
10826 + *[0-9a-f]*:   5f 74           srpl r4
10827 + *[0-9a-f]*:   5f 1e           srne lr
10828 + *[0-9a-f]*:   5f 50           srlt r0
10829 + *[0-9a-f]*:   5f fd           sral sp
10830 + *[0-9a-f]*:   5f 49           srge r9
10831 +
10832 +[0-9a-f]* <ld_w3>:
10833 + *[0-9a-f]*:   7e 0f           ld\.w pc,pc\[0x0\]
10834 + *[0-9a-f]*:   79 fc           ld\.w r12,r12\[0x7c\]
10835 + *[0-9a-f]*:   6b 05           ld\.w r5,r5\[0x40\]
10836 + *[0-9a-f]*:   68 f4           ld\.w r4,r4\[0x3c\]
10837 + *[0-9a-f]*:   7c 1e           ld\.w lr,lr\[0x4\]
10838 + *[0-9a-f]*:   64 dd           ld\.w sp,r2\[0x34\]
10839 + *[0-9a-f]*:   62 29           ld\.w r9,r1\[0x8\]
10840 + *[0-9a-f]*:   7a f5           ld\.w r5,sp\[0x3c\]
10841 +
10842 +[0-9a-f]* <ld_sh3>:
10843 + *[0-9a-f]*:   9e 0f           ld\.sh pc,pc\[0x0\]
10844 + *[0-9a-f]*:   98 7c           ld\.sh r12,r12\[0xe\]
10845 + *[0-9a-f]*:   8a 45           ld\.sh r5,r5\[0x8\]
10846 + *[0-9a-f]*:   88 34           ld\.sh r4,r4\[0x6\]
10847 + *[0-9a-f]*:   9c 1e           ld\.sh lr,lr\[0x2\]
10848 + *[0-9a-f]*:   84 44           ld\.sh r4,r2\[0x8\]
10849 + *[0-9a-f]*:   9c 5d           ld\.sh sp,lr\[0xa\]
10850 + *[0-9a-f]*:   96 12           ld\.sh r2,r11\[0x2\]
10851 +
10852 +[0-9a-f]* <ld_uh3>:
10853 + *[0-9a-f]*:   9e 8f           ld\.uh pc,pc\[0x0\]
10854 + *[0-9a-f]*:   98 fc           ld\.uh r12,r12\[0xe\]
10855 + *[0-9a-f]*:   8a c5           ld\.uh r5,r5\[0x8\]
10856 + *[0-9a-f]*:   88 b4           ld\.uh r4,r4\[0x6\]
10857 + *[0-9a-f]*:   9c 9e           ld\.uh lr,lr\[0x2\]
10858 + *[0-9a-f]*:   80 da           ld\.uh r10,r0\[0xa\]
10859 + *[0-9a-f]*:   96 c8           ld\.uh r8,r11\[0x8\]
10860 + *[0-9a-f]*:   84 ea           ld\.uh r10,r2\[0xc\]
10861 +
10862 +[0-9a-f]* <st_w3>:
10863 + *[0-9a-f]*:   9f 0f           st\.w pc\[0x0\],pc
10864 + *[0-9a-f]*:   99 fc           st\.w r12\[0x3c\],r12
10865 + *[0-9a-f]*:   8b 85           st\.w r5\[0x20\],r5
10866 + *[0-9a-f]*:   89 74           st\.w r4\[0x1c\],r4
10867 + *[0-9a-f]*:   9d 1e           st\.w lr\[0x4\],lr
10868 + *[0-9a-f]*:   8f bb           st\.w r7\[0x2c\],r11
10869 + *[0-9a-f]*:   85 66           st\.w r2\[0x18\],r6
10870 + *[0-9a-f]*:   89 39           st\.w r4\[0xc\],r9
10871 +
10872 +[0-9a-f]* <st_h3>:
10873 + *[0-9a-f]*:   be 0f           st\.h pc\[0x0\],pc
10874 + *[0-9a-f]*:   b8 7c           st\.h r12\[0xe\],r12
10875 + *[0-9a-f]*:   aa 45           st\.h r5\[0x8\],r5
10876 + *[0-9a-f]*:   a8 34           st\.h r4\[0x6\],r4
10877 + *[0-9a-f]*:   bc 1e           st\.h lr\[0x2\],lr
10878 + *[0-9a-f]*:   bc 5c           st\.h lr\[0xa\],r12
10879 + *[0-9a-f]*:   ac 20           st\.h r6\[0x4\],r0
10880 + *[0-9a-f]*:   aa 6d           st\.h r5\[0xc\],sp
10881 +
10882 +[0-9a-f]* <st_b3>:
10883 + *[0-9a-f]*:   be 8f           st\.b pc\[0x0\],pc
10884 + *[0-9a-f]*:   b8 fc           st\.b r12\[0x7\],r12
10885 + *[0-9a-f]*:   aa c5           st\.b r5\[0x4\],r5
10886 + *[0-9a-f]*:   a8 b4           st\.b r4\[0x3\],r4
10887 + *[0-9a-f]*:   bc 9e           st\.b lr\[0x1\],lr
10888 + *[0-9a-f]*:   b8 e9           st\.b r12\[0x6\],r9
10889 + *[0-9a-f]*:   a4 be           st\.b r2\[0x3\],lr
10890 + *[0-9a-f]*:   a2 bb           st\.b r1\[0x3\],r11
10891 +
10892 +[0-9a-f]* <ldd>:
10893 + *[0-9a-f]*:   bf 00           ld\.d r0,pc
10894 + *[0-9a-f]*:   b9 0e           ld\.d lr,r12
10895 + *[0-9a-f]*:   ab 08           ld\.d r8,r5
10896 + *[0-9a-f]*:   a9 06           ld\.d r6,r4
10897 + *[0-9a-f]*:   bd 02           ld\.d r2,lr
10898 + *[0-9a-f]*:   af 0e           ld\.d lr,r7
10899 + *[0-9a-f]*:   a9 04           ld\.d r4,r4
10900 + *[0-9a-f]*:   bf 0e           ld\.d lr,pc
10901 +
10902 +[0-9a-f]* <ldd_postinc>:
10903 + *[0-9a-f]*:   bf 01           ld\.d r0,pc\+\+
10904 + *[0-9a-f]*:   b9 0f           ld\.d lr,r12\+\+
10905 + *[0-9a-f]*:   ab 09           ld\.d r8,r5\+\+
10906 + *[0-9a-f]*:   a9 07           ld\.d r6,r4\+\+
10907 + *[0-9a-f]*:   bd 03           ld\.d r2,lr\+\+
10908 + *[0-9a-f]*:   ab 0f           ld\.d lr,r5\+\+
10909 + *[0-9a-f]*:   b7 0d           ld\.d r12,r11\+\+
10910 + *[0-9a-f]*:   b9 03           ld\.d r2,r12\+\+
10911 +
10912 +[0-9a-f]* <ldd_predec>:
10913 + *[0-9a-f]*:   bf 10           ld\.d r0,--pc
10914 + *[0-9a-f]*:   b9 1e           ld\.d lr,--r12
10915 + *[0-9a-f]*:   ab 18           ld\.d r8,--r5
10916 + *[0-9a-f]*:   a9 16           ld\.d r6,--r4
10917 + *[0-9a-f]*:   bd 12           ld\.d r2,--lr
10918 + *[0-9a-f]*:   a1 18           ld\.d r8,--r0
10919 + *[0-9a-f]*:   bf 1a           ld\.d r10,--pc
10920 + *[0-9a-f]*:   a9 12           ld\.d r2,--r4
10921 +
10922 +[0-9a-f]* <std>:
10923 + *[0-9a-f]*:   bf 11           st\.d pc,r0
10924 + *[0-9a-f]*:   b9 1f           st\.d r12,lr
10925 + *[0-9a-f]*:   ab 19           st\.d r5,r8
10926 + *[0-9a-f]*:   a9 17           st\.d r4,r6
10927 + *[0-9a-f]*:   bd 13           st\.d lr,r2
10928 + *[0-9a-f]*:   a1 1d           st\.d r0,r12
10929 + *[0-9a-f]*:   bb 15           st\.d sp,r4
10930 + *[0-9a-f]*:   b9 1d           st\.d r12,r12
10931 +
10932 +[0-9a-f]* <std_postinc>:
10933 + *[0-9a-f]*:   bf 20           st\.d pc\+\+,r0
10934 + *[0-9a-f]*:   b9 2e           st\.d r12\+\+,lr
10935 + *[0-9a-f]*:   ab 28           st\.d r5\+\+,r8
10936 + *[0-9a-f]*:   a9 26           st\.d r4\+\+,r6
10937 + *[0-9a-f]*:   bd 22           st\.d lr\+\+,r2
10938 + *[0-9a-f]*:   bb 26           st\.d sp\+\+,r6
10939 + *[0-9a-f]*:   b5 26           st\.d r10\+\+,r6
10940 + *[0-9a-f]*:   af 22           st\.d r7\+\+,r2
10941 +
10942 +[0-9a-f]* <std_predec>:
10943 + *[0-9a-f]*:   bf 21           st\.d --pc,r0
10944 + *[0-9a-f]*:   b9 2f           st\.d --r12,lr
10945 + *[0-9a-f]*:   ab 29           st\.d --r5,r8
10946 + *[0-9a-f]*:   a9 27           st\.d --r4,r6
10947 + *[0-9a-f]*:   bd 23           st\.d --lr,r2
10948 + *[0-9a-f]*:   a7 27           st\.d --r3,r6
10949 + *[0-9a-f]*:   bd 23           st\.d --lr,r2
10950 + *[0-9a-f]*:   a1 25           st\.d --r0,r4
10951 +
10952 +[0-9a-f]* <mul>:
10953 + *[0-9a-f]*:   bf 3f           mul pc,pc
10954 + *[0-9a-f]*:   b9 3c           mul r12,r12
10955 + *[0-9a-f]*:   ab 35           mul r5,r5
10956 + *[0-9a-f]*:   a9 34           mul r4,r4
10957 + *[0-9a-f]*:   bd 3e           mul lr,lr
10958 + *[0-9a-f]*:   bd 3a           mul r10,lr
10959 + *[0-9a-f]*:   b1 30           mul r0,r8
10960 + *[0-9a-f]*:   ab 38           mul r8,r5
10961 +
10962 +[0-9a-f]* <asr_imm5>:
10963 + *[0-9a-f]*:   a1 4f           asr pc,0x0
10964 + *[0-9a-f]*:   bf 5c           asr r12,0x1f
10965 + *[0-9a-f]*:   b1 45           asr r5,0x10
10966 + *[0-9a-f]*:   af 54           asr r4,0xf
10967 + *[0-9a-f]*:   a1 5e           asr lr,0x1
10968 + *[0-9a-f]*:   b7 56           asr r6,0x17
10969 + *[0-9a-f]*:   b3 46           asr r6,0x12
10970 + *[0-9a-f]*:   a9 45           asr r5,0x8
10971 +
10972 +[0-9a-f]* <lsl_imm5>:
10973 + *[0-9a-f]*:   a1 6f           lsl pc,0x0
10974 + *[0-9a-f]*:   bf 7c           lsl r12,0x1f
10975 + *[0-9a-f]*:   b1 65           lsl r5,0x10
10976 + *[0-9a-f]*:   af 74           lsl r4,0xf
10977 + *[0-9a-f]*:   a1 7e           lsl lr,0x1
10978 + *[0-9a-f]*:   ad 7c           lsl r12,0xd
10979 + *[0-9a-f]*:   b1 66           lsl r6,0x10
10980 + *[0-9a-f]*:   b9 71           lsl r1,0x19
10981 +
10982 +[0-9a-f]* <lsr_imm5>:
10983 + *[0-9a-f]*:   a1 8f           lsr pc,0x0
10984 + *[0-9a-f]*:   bf 9c           lsr r12,0x1f
10985 + *[0-9a-f]*:   b1 85           lsr r5,0x10
10986 + *[0-9a-f]*:   af 94           lsr r4,0xf
10987 + *[0-9a-f]*:   a1 9e           lsr lr,0x1
10988 + *[0-9a-f]*:   a1 90           lsr r0,0x1
10989 + *[0-9a-f]*:   ab 88           lsr r8,0xa
10990 + *[0-9a-f]*:   bb 87           lsr r7,0x1a
10991 +
10992 +[0-9a-f]* <sbr>:
10993 + *[0-9a-f]*:   a1 af           sbr pc,0x0
10994 + *[0-9a-f]*:   bf bc           sbr r12,0x1f
10995 + *[0-9a-f]*:   b1 a5           sbr r5,0x10
10996 + *[0-9a-f]*:   af b4           sbr r4,0xf
10997 + *[0-9a-f]*:   a1 be           sbr lr,0x1
10998 + *[0-9a-f]*:   bf b8           sbr r8,0x1f
10999 + *[0-9a-f]*:   b7 a6           sbr r6,0x16
11000 + *[0-9a-f]*:   b7 b1           sbr r1,0x17
11001 +
11002 +[0-9a-f]* <cbr>:
11003 + *[0-9a-f]*:   a1 cf           cbr pc,0x0
11004 + *[0-9a-f]*:   bf dc           cbr r12,0x1f
11005 + *[0-9a-f]*:   b1 c5           cbr r5,0x10
11006 + *[0-9a-f]*:   af d4           cbr r4,0xf
11007 + *[0-9a-f]*:   a1 de           cbr lr,0x1
11008 + *[0-9a-f]*:   ab cc           cbr r12,0xa
11009 + *[0-9a-f]*:   b7 c7           cbr r7,0x16
11010 + *[0-9a-f]*:   a9 d8           cbr r8,0x9
11011 +
11012 +[0-9a-f]* <brc1>:
11013 + *[0-9a-f]*:   c0 00           breq [0-9a-f]* <.*>
11014 + *[0-9a-f]*:   cf f7           brpl [0-9a-f]* <.*>
11015 + *[0-9a-f]*:   c8 04           brge [0-9a-f]* <.*>
11016 + *[0-9a-f]*:   c7 f3           brcs [0-9a-f]* <.*>
11017 + *[0-9a-f]*:   c0 11           brne [0-9a-f]* <.*>
11018 + *[0-9a-f]*:   c7 33           brcs [0-9a-f]* <.*>
11019 + *[0-9a-f]*:   cf 70           breq [0-9a-f]* <.*>
11020 + *[0-9a-f]*:   c0 60           breq [0-9a-f]* <.*>
11021 +
11022 +[0-9a-f]* <rjmp>:
11023 + *[0-9a-f]*:   c0 08           rjmp [0-9a-f]* <.*>
11024 + *[0-9a-f]*:   cf fb           rjmp [0-9a-f]* <.*>
11025 + *[0-9a-f]*:   c0 0a           rjmp [0-9a-f]* <.*>
11026 + *[0-9a-f]*:   cf f9           rjmp [0-9a-f]* <.*>
11027 + *[0-9a-f]*:   c0 18           rjmp [0-9a-f]* <.*>
11028 + *[0-9a-f]*:   c1 fa           rjmp [0-9a-f]* <.*>
11029 + *[0-9a-f]*:   c0 78           rjmp [0-9a-f]* <.*>
11030 + *[0-9a-f]*:   cf ea           rjmp [0-9a-f]* <.*>
11031 +
11032 +[0-9a-f]* <rcall1>:
11033 + *[0-9a-f]*:   c0 0c           rcall [0-9a-f]* <.*>
11034 + *[0-9a-f]*:   cf ff           rcall [0-9a-f]* <.*>
11035 + *[0-9a-f]*:   c0 0e           rcall [0-9a-f]* <.*>
11036 + *[0-9a-f]*:   cf fd           rcall [0-9a-f]* <.*>
11037 + *[0-9a-f]*:   c0 1c           rcall [0-9a-f]* <.*>
11038 + *[0-9a-f]*:   c6 cc           rcall [0-9a-f]* <.*>
11039 + *[0-9a-f]*:   cf 7e           rcall [0-9a-f]* <.*>
11040 + *[0-9a-f]*:   c1 ae           rcall [0-9a-f]* <.*>
11041 +
11042 +[0-9a-f]* <acall>:
11043 + *[0-9a-f]*:   d0 00           acall 0x0
11044 + *[0-9a-f]*:   df f0           acall 0x3fc
11045 + *[0-9a-f]*:   d8 00           acall 0x200
11046 + *[0-9a-f]*:   d7 f0           acall 0x1fc
11047 + *[0-9a-f]*:   d0 10           acall 0x4
11048 + *[0-9a-f]*:   d5 90           acall 0x164
11049 + *[0-9a-f]*:   d4 c0           acall 0x130
11050 + *[0-9a-f]*:   d2 b0           acall 0xac
11051 +
11052 +[0-9a-f]* <scall>:
11053 + *[0-9a-f]*:   d7 33           scall
11054 + *[0-9a-f]*:   d7 33           scall
11055 + *[0-9a-f]*:   d7 33           scall
11056 + *[0-9a-f]*:   d7 33           scall
11057 + *[0-9a-f]*:   d7 33           scall
11058 + *[0-9a-f]*:   d7 33           scall
11059 + *[0-9a-f]*:   d7 33           scall
11060 + *[0-9a-f]*:   d7 33           scall
11061 +
11062 +[0-9a-f]* <popm>:
11063 + *[0-9a-f]*:   d8 02           popm pc
11064 + *[0-9a-f]*:   dd fa           popm r0-r11,pc,r12=-1
11065 + *[0-9a-f]*:   d4 02           popm lr
11066 + *[0-9a-f]*:   db fa           popm r0-r11,pc,r12=1
11067 + *[0-9a-f]*:   d0 12           popm r0-r3
11068 + *[0-9a-f]*:   d8 e2           popm r4-r10,pc
11069 + *[0-9a-f]*:   d9 1a           popm r0-r3,r11,pc,r12=0
11070 + *[0-9a-f]*:   d7 b2           popm r0-r7,r10-r12,lr
11071 +
11072 +[0-9a-f]* <pushm>:
11073 + *[0-9a-f]*:   d8 01           pushm pc
11074 + *[0-9a-f]*:   df f1           pushm r0-r12,lr-pc
11075 + *[0-9a-f]*:   d8 01           pushm pc
11076 + *[0-9a-f]*:   d7 f1           pushm r0-r12,lr
11077 + *[0-9a-f]*:   d0 11           pushm r0-r3
11078 + *[0-9a-f]*:   dc c1           pushm r8-r10,lr-pc
11079 + *[0-9a-f]*:   d0 91           pushm r0-r3,r10
11080 + *[0-9a-f]*:   d2 41           pushm r8-r9,r12
11081 +
11082 +[0-9a-f]* <popm_n>:
11083 +.*
11084 +.*
11085 +.*
11086 +.*
11087 +.*
11088 +.*
11089 +.*
11090 +.*
11091 +
11092 +[0-9a-f]* <pushm_n>:
11093 +.*
11094 +.*
11095 +.*
11096 +.*
11097 +.*
11098 +.*
11099 +.*
11100 +.*
11101 +
11102 +[0-9a-f]* <csrfcz>:
11103 + *[0-9a-f]*:   d0 03           csrfcz 0x0
11104 + *[0-9a-f]*:   d1 f3           csrfcz 0x1f
11105 + *[0-9a-f]*:   d1 03           csrfcz 0x10
11106 + *[0-9a-f]*:   d0 f3           csrfcz 0xf
11107 + *[0-9a-f]*:   d0 13           csrfcz 0x1
11108 + *[0-9a-f]*:   d0 53           csrfcz 0x5
11109 + *[0-9a-f]*:   d0 d3           csrfcz 0xd
11110 + *[0-9a-f]*:   d1 73           csrfcz 0x17
11111 +
11112 +[0-9a-f]* <ssrf>:
11113 + *[0-9a-f]*:   d2 03           ssrf 0x0
11114 + *[0-9a-f]*:   d3 f3           ssrf 0x1f
11115 + *[0-9a-f]*:   d3 03           ssrf 0x10
11116 + *[0-9a-f]*:   d2 f3           ssrf 0xf
11117 + *[0-9a-f]*:   d2 13           ssrf 0x1
11118 + *[0-9a-f]*:   d3 d3           ssrf 0x1d
11119 + *[0-9a-f]*:   d2 d3           ssrf 0xd
11120 + *[0-9a-f]*:   d2 d3           ssrf 0xd
11121 +
11122 +[0-9a-f]* <csrf>:
11123 + *[0-9a-f]*:   d4 03           csrf 0x0
11124 + *[0-9a-f]*:   d5 f3           csrf 0x1f
11125 + *[0-9a-f]*:   d5 03           csrf 0x10
11126 + *[0-9a-f]*:   d4 f3           csrf 0xf
11127 + *[0-9a-f]*:   d4 13           csrf 0x1
11128 + *[0-9a-f]*:   d4 a3           csrf 0xa
11129 + *[0-9a-f]*:   d4 f3           csrf 0xf
11130 + *[0-9a-f]*:   d4 b3           csrf 0xb
11131 +
11132 +[0-9a-f]* <rete>:
11133 + *[0-9a-f]*:   d6 03           rete
11134 +
11135 +[0-9a-f]* <rets>:
11136 + *[0-9a-f]*:   d6 13           rets
11137 +
11138 +[0-9a-f]* <retd>:
11139 + *[0-9a-f]*:   d6 23           retd
11140 +
11141 +[0-9a-f]* <retj>:
11142 + *[0-9a-f]*:   d6 33           retj
11143 +
11144 +[0-9a-f]* <tlbr>:
11145 + *[0-9a-f]*:   d6 43           tlbr
11146 +
11147 +[0-9a-f]* <tlbs>:
11148 + *[0-9a-f]*:   d6 53           tlbs
11149 +
11150 +[0-9a-f]* <tlbw>:
11151 + *[0-9a-f]*:   d6 63           tlbw
11152 +
11153 +[0-9a-f]* <breakpoint>:
11154 + *[0-9a-f]*:   d6 73           breakpoint
11155 +
11156 +[0-9a-f]* <incjosp>:
11157 + *[0-9a-f]*:   d6 83           incjosp 1
11158 + *[0-9a-f]*:   d6 93           incjosp 2
11159 + *[0-9a-f]*:   d6 a3           incjosp 3
11160 + *[0-9a-f]*:   d6 b3           incjosp 4
11161 + *[0-9a-f]*:   d6 c3           incjosp -4
11162 + *[0-9a-f]*:   d6 d3           incjosp -3
11163 + *[0-9a-f]*:   d6 e3           incjosp -2
11164 + *[0-9a-f]*:   d6 f3           incjosp -1
11165 +
11166 +[0-9a-f]* <nop>:
11167 + *[0-9a-f]*:   d7 03           nop
11168 +
11169 +[0-9a-f]* <popjc>:
11170 + *[0-9a-f]*:   d7 13           popjc
11171 +
11172 +[0-9a-f]* <pushjc>:
11173 + *[0-9a-f]*:   d7 23           pushjc
11174 +
11175 +[0-9a-f]* <add2>:
11176 + *[0-9a-f]*:   fe 0f 00 0f     add pc,pc,pc
11177 + *[0-9a-f]*:   f8 0c 00 3c     add r12,r12,r12<<0x3
11178 + *[0-9a-f]*:   ea 05 00 25     add r5,r5,r5<<0x2
11179 + *[0-9a-f]*:   e8 04 00 14     add r4,r4,r4<<0x1
11180 + *[0-9a-f]*:   fc 0e 00 1e     add lr,lr,lr<<0x1
11181 + *[0-9a-f]*:   f8 00 00 10     add r0,r12,r0<<0x1
11182 + *[0-9a-f]*:   f8 04 00 09     add r9,r12,r4
11183 + *[0-9a-f]*:   f8 07 00 2c     add r12,r12,r7<<0x2
11184 +
11185 +[0-9a-f]* <sub2>:
11186 + *[0-9a-f]*:   fe 0f 01 0f     sub pc,pc,pc
11187 + *[0-9a-f]*:   f8 0c 01 3c     sub r12,r12,r12<<0x3
11188 + *[0-9a-f]*:   ea 05 01 25     sub r5,r5,r5<<0x2
11189 + *[0-9a-f]*:   e8 04 01 14     sub r4,r4,r4<<0x1
11190 + *[0-9a-f]*:   fc 0e 01 1e     sub lr,lr,lr<<0x1
11191 + *[0-9a-f]*:   e6 04 01 0d     sub sp,r3,r4
11192 + *[0-9a-f]*:   ee 03 01 03     sub r3,r7,r3
11193 + *[0-9a-f]*:   f4 0d 01 1d     sub sp,r10,sp<<0x1
11194 +
11195 +[0-9a-f]* <divu>:
11196 + *[0-9a-f]*:   fe 0f 0d 0f     divu pc,pc,pc
11197 + *[0-9a-f]*:   f8 0c 0d 0c     divu r12,r12,r12
11198 + *[0-9a-f]*:   ea 05 0d 05     divu r5,r5,r5
11199 + *[0-9a-f]*:   e8 04 0d 04     divu r4,r4,r4
11200 + *[0-9a-f]*:   fc 0e 0d 0e     divu lr,lr,lr
11201 + *[0-9a-f]*:   e8 0f 0d 0d     divu sp,r4,pc
11202 + *[0-9a-f]*:   ea 0d 0d 05     divu r5,r5,sp
11203 + *[0-9a-f]*:   fa 00 0d 0a     divu r10,sp,r0
11204 +
11205 +[0-9a-f]* <addhh_w>:
11206 + *[0-9a-f]*:   fe 0f 0e 0f     addhh\.w pc,pc:b,pc:b
11207 + *[0-9a-f]*:   f8 0c 0e 3c     addhh\.w r12,r12:t,r12:t
11208 + *[0-9a-f]*:   ea 05 0e 35     addhh\.w r5,r5:t,r5:t
11209 + *[0-9a-f]*:   e8 04 0e 04     addhh\.w r4,r4:b,r4:b
11210 + *[0-9a-f]*:   fc 0e 0e 3e     addhh\.w lr,lr:t,lr:t
11211 + *[0-9a-f]*:   e0 03 0e 00     addhh\.w r0,r0:b,r3:b
11212 + *[0-9a-f]*:   f8 07 0e 2e     addhh\.w lr,r12:t,r7:b
11213 + *[0-9a-f]*:   f4 02 0e 23     addhh\.w r3,r10:t,r2:b
11214 +
11215 +[0-9a-f]* <subhh_w>:
11216 + *[0-9a-f]*:   fe 0f 0f 0f     subhh\.w pc,pc:b,pc:b
11217 + *[0-9a-f]*:   f8 0c 0f 3c     subhh\.w r12,r12:t,r12:t
11218 + *[0-9a-f]*:   ea 05 0f 35     subhh\.w r5,r5:t,r5:t
11219 + *[0-9a-f]*:   e8 04 0f 04     subhh\.w r4,r4:b,r4:b
11220 + *[0-9a-f]*:   fc 0e 0f 3e     subhh\.w lr,lr:t,lr:t
11221 + *[0-9a-f]*:   e2 07 0f 2a     subhh\.w r10,r1:t,r7:b
11222 + *[0-9a-f]*:   f4 0e 0f 3f     subhh\.w pc,r10:t,lr:t
11223 + *[0-9a-f]*:   e0 0c 0f 23     subhh\.w r3,r0:t,r12:b
11224 +
11225 +[0-9a-f]* <adc>:
11226 + *[0-9a-f]*:   fe 0f 00 4f     adc pc,pc,pc
11227 + *[0-9a-f]*:   f8 0c 00 4c     adc r12,r12,r12
11228 + *[0-9a-f]*:   ea 05 00 45     adc r5,r5,r5
11229 + *[0-9a-f]*:   e8 04 00 44     adc r4,r4,r4
11230 + *[0-9a-f]*:   fc 0e 00 4e     adc lr,lr,lr
11231 + *[0-9a-f]*:   e0 07 00 44     adc r4,r0,r7
11232 + *[0-9a-f]*:   e8 03 00 4d     adc sp,r4,r3
11233 + *[0-9a-f]*:   f8 00 00 42     adc r2,r12,r0
11234 +
11235 +[0-9a-f]* <sbc>:
11236 + *[0-9a-f]*:   fe 0f 01 4f     sbc pc,pc,pc
11237 + *[0-9a-f]*:   f8 0c 01 4c     sbc r12,r12,r12
11238 + *[0-9a-f]*:   ea 05 01 45     sbc r5,r5,r5
11239 + *[0-9a-f]*:   e8 04 01 44     sbc r4,r4,r4
11240 + *[0-9a-f]*:   fc 0e 01 4e     sbc lr,lr,lr
11241 + *[0-9a-f]*:   ee 09 01 46     sbc r6,r7,r9
11242 + *[0-9a-f]*:   f0 05 01 40     sbc r0,r8,r5
11243 + *[0-9a-f]*:   e0 04 01 41     sbc r1,r0,r4
11244 +
11245 +[0-9a-f]* <mul_2>:
11246 + *[0-9a-f]*:   fe 0f 02 4f     mul pc,pc,pc
11247 + *[0-9a-f]*:   f8 0c 02 4c     mul r12,r12,r12
11248 + *[0-9a-f]*:   ea 05 02 45     mul r5,r5,r5
11249 + *[0-9a-f]*:   e8 04 02 44     mul r4,r4,r4
11250 + *[0-9a-f]*:   fc 0e 02 4e     mul lr,lr,lr
11251 + *[0-9a-f]*:   e0 00 02 4f     mul pc,r0,r0
11252 + *[0-9a-f]*:   fe 0e 02 48     mul r8,pc,lr
11253 + *[0-9a-f]*:   f8 0f 02 44     mul r4,r12,pc
11254 +
11255 +[0-9a-f]* <mac>:
11256 + *[0-9a-f]*:   fe 0f 03 4f     mac pc,pc,pc
11257 + *[0-9a-f]*:   f8 0c 03 4c     mac r12,r12,r12
11258 + *[0-9a-f]*:   ea 05 03 45     mac r5,r5,r5
11259 + *[0-9a-f]*:   e8 04 03 44     mac r4,r4,r4
11260 + *[0-9a-f]*:   fc 0e 03 4e     mac lr,lr,lr
11261 + *[0-9a-f]*:   e8 00 03 4a     mac r10,r4,r0
11262 + *[0-9a-f]*:   fc 00 03 47     mac r7,lr,r0
11263 + *[0-9a-f]*:   f2 0c 03 42     mac r2,r9,r12
11264 +
11265 +[0-9a-f]* <mulsd>:
11266 + *[0-9a-f]*:   fe 0f 04 4f     muls\.d pc,pc,pc
11267 + *[0-9a-f]*:   f8 0c 04 4c     muls\.d r12,r12,r12
11268 + *[0-9a-f]*:   ea 05 04 45     muls\.d r5,r5,r5
11269 + *[0-9a-f]*:   e8 04 04 44     muls\.d r4,r4,r4
11270 + *[0-9a-f]*:   fc 0e 04 4e     muls\.d lr,lr,lr
11271 + *[0-9a-f]*:   f0 0e 04 42     muls\.d r2,r8,lr
11272 + *[0-9a-f]*:   e0 0b 04 44     muls\.d r4,r0,r11
11273 + *[0-9a-f]*:   fc 06 04 45     muls\.d r5,lr,r6
11274 +
11275 +[0-9a-f]* <macsd>:
11276 + *[0-9a-f]*:   fe 0f 05 40     macs\.d r0,pc,pc
11277 + *[0-9a-f]*:   f8 0c 05 4e     macs\.d lr,r12,r12
11278 + *[0-9a-f]*:   ea 05 05 48     macs\.d r8,r5,r5
11279 + *[0-9a-f]*:   e8 04 05 46     macs\.d r6,r4,r4
11280 + *[0-9a-f]*:   fc 0e 05 42     macs\.d r2,lr,lr
11281 + *[0-9a-f]*:   e2 09 05 48     macs\.d r8,r1,r9
11282 + *[0-9a-f]*:   f0 08 05 4e     macs\.d lr,r8,r8
11283 + *[0-9a-f]*:   e6 0c 05 44     macs\.d r4,r3,r12
11284 +
11285 +[0-9a-f]* <mulud>:
11286 + *[0-9a-f]*:   fe 0f 06 40     mulu\.d r0,pc,pc
11287 + *[0-9a-f]*:   f8 0c 06 4e     mulu\.d lr,r12,r12
11288 + *[0-9a-f]*:   ea 05 06 48     mulu\.d r8,r5,r5
11289 + *[0-9a-f]*:   e8 04 06 46     mulu\.d r6,r4,r4
11290 + *[0-9a-f]*:   fc 0e 06 42     mulu\.d r2,lr,lr
11291 + *[0-9a-f]*:   ea 00 06 46     mulu\.d r6,r5,r0
11292 + *[0-9a-f]*:   ec 01 06 44     mulu\.d r4,r6,r1
11293 + *[0-9a-f]*:   f0 02 06 48     mulu\.d r8,r8,r2
11294 +
11295 +[0-9a-f]* <macud>:
11296 + *[0-9a-f]*:   fe 0f 07 40     macu\.d r0,pc,pc
11297 + *[0-9a-f]*:   f8 0c 07 4e     macu\.d lr,r12,r12
11298 + *[0-9a-f]*:   ea 05 07 48     macu\.d r8,r5,r5
11299 + *[0-9a-f]*:   e8 04 07 46     macu\.d r6,r4,r4
11300 + *[0-9a-f]*:   fc 0e 07 42     macu\.d r2,lr,lr
11301 + *[0-9a-f]*:   fa 0b 07 46     macu\.d r6,sp,r11
11302 + *[0-9a-f]*:   e8 08 07 42     macu\.d r2,r4,r8
11303 + *[0-9a-f]*:   f4 09 07 46     macu\.d r6,r10,r9
11304 +
11305 +[0-9a-f]* <asr_1>:
11306 + *[0-9a-f]*:   fe 0f 08 4f     asr pc,pc,pc
11307 + *[0-9a-f]*:   f8 0c 08 4c     asr r12,r12,r12
11308 + *[0-9a-f]*:   ea 05 08 45     asr r5,r5,r5
11309 + *[0-9a-f]*:   e8 04 08 44     asr r4,r4,r4
11310 + *[0-9a-f]*:   fc 0e 08 4e     asr lr,lr,lr
11311 + *[0-9a-f]*:   ec 0f 08 4f     asr pc,r6,pc
11312 + *[0-9a-f]*:   ec 0c 08 40     asr r0,r6,r12
11313 + *[0-9a-f]*:   fa 00 08 44     asr r4,sp,r0
11314 +
11315 +[0-9a-f]* <lsl_1>:
11316 + *[0-9a-f]*:   fe 0f 09 4f     lsl pc,pc,pc
11317 + *[0-9a-f]*:   f8 0c 09 4c     lsl r12,r12,r12
11318 + *[0-9a-f]*:   ea 05 09 45     lsl r5,r5,r5
11319 + *[0-9a-f]*:   e8 04 09 44     lsl r4,r4,r4
11320 + *[0-9a-f]*:   fc 0e 09 4e     lsl lr,lr,lr
11321 + *[0-9a-f]*:   ea 0e 09 4e     lsl lr,r5,lr
11322 + *[0-9a-f]*:   fe 03 09 45     lsl r5,pc,r3
11323 + *[0-9a-f]*:   fe 09 09 41     lsl r1,pc,r9
11324 +
11325 +[0-9a-f]* <lsr_1>:
11326 + *[0-9a-f]*:   fe 0f 0a 4f     lsr pc,pc,pc
11327 + *[0-9a-f]*:   f8 0c 0a 4c     lsr r12,r12,r12
11328 + *[0-9a-f]*:   ea 05 0a 45     lsr r5,r5,r5
11329 + *[0-9a-f]*:   e8 04 0a 44     lsr r4,r4,r4
11330 + *[0-9a-f]*:   fc 0e 0a 4e     lsr lr,lr,lr
11331 + *[0-9a-f]*:   e8 01 0a 42     lsr r2,r4,r1
11332 + *[0-9a-f]*:   e2 06 0a 45     lsr r5,r1,r6
11333 + *[0-9a-f]*:   ec 07 0a 4d     lsr sp,r6,r7
11334 +
11335 +[0-9a-f]* <xchg>:
11336 + *[0-9a-f]*:   fe 0f 0b 4f     xchg pc,pc,pc
11337 + *[0-9a-f]*:   f8 0c 0b 4c     xchg r12,r12,r12
11338 + *[0-9a-f]*:   ea 05 0b 45     xchg r5,r5,r5
11339 + *[0-9a-f]*:   e8 04 0b 44     xchg r4,r4,r4
11340 + *[0-9a-f]*:   fc 0e 0b 4e     xchg lr,lr,lr
11341 + *[0-9a-f]*:   e8 0d 0b 4e     xchg lr,r4,sp
11342 + *[0-9a-f]*:   ea 0c 0b 41     xchg r1,r5,r12
11343 + *[0-9a-f]*:   f8 00 0b 4e     xchg lr,r12,r0
11344 +
11345 +[0-9a-f]* <max>:
11346 + *[0-9a-f]*:   fe 0f 0c 4f     max pc,pc,pc
11347 + *[0-9a-f]*:   f8 0c 0c 4c     max r12,r12,r12
11348 + *[0-9a-f]*:   ea 05 0c 45     max r5,r5,r5
11349 + *[0-9a-f]*:   e8 04 0c 44     max r4,r4,r4
11350 + *[0-9a-f]*:   fc 0e 0c 4e     max lr,lr,lr
11351 + *[0-9a-f]*:   e4 0d 0c 4e     max lr,r2,sp
11352 + *[0-9a-f]*:   f4 09 0c 44     max r4,r10,r9
11353 + *[0-9a-f]*:   f2 0e 0c 4e     max lr,r9,lr
11354 +
11355 +[0-9a-f]* <min>:
11356 + *[0-9a-f]*:   fe 0f 0d 4f     min pc,pc,pc
11357 + *[0-9a-f]*:   f8 0c 0d 4c     min r12,r12,r12
11358 + *[0-9a-f]*:   ea 05 0d 45     min r5,r5,r5
11359 + *[0-9a-f]*:   e8 04 0d 44     min r4,r4,r4
11360 + *[0-9a-f]*:   fc 0e 0d 4e     min lr,lr,lr
11361 + *[0-9a-f]*:   ee 08 0d 49     min r9,r7,r8
11362 + *[0-9a-f]*:   ea 05 0d 4d     min sp,r5,r5
11363 + *[0-9a-f]*:   e2 04 0d 44     min r4,r1,r4
11364 +
11365 +[0-9a-f]* <addabs>:
11366 + *[0-9a-f]*:   fe 0f 0e 4f     addabs pc,pc,pc
11367 + *[0-9a-f]*:   f8 0c 0e 4c     addabs r12,r12,r12
11368 + *[0-9a-f]*:   ea 05 0e 45     addabs r5,r5,r5
11369 + *[0-9a-f]*:   e8 04 0e 44     addabs r4,r4,r4
11370 + *[0-9a-f]*:   fc 0e 0e 4e     addabs lr,lr,lr
11371 + *[0-9a-f]*:   f4 00 0e 47     addabs r7,r10,r0
11372 + *[0-9a-f]*:   f2 07 0e 49     addabs r9,r9,r7
11373 + *[0-9a-f]*:   f0 0c 0e 42     addabs r2,r8,r12
11374 +
11375 +[0-9a-f]* <mulnhh_w>:
11376 + *[0-9a-f]*:   fe 0f 01 8f     mulnhh\.w pc,pc:b,pc:b
11377 + *[0-9a-f]*:   f8 0c 01 bc     mulnhh\.w r12,r12:t,r12:t
11378 + *[0-9a-f]*:   ea 05 01 b5     mulnhh\.w r5,r5:t,r5:t
11379 + *[0-9a-f]*:   e8 04 01 84     mulnhh\.w r4,r4:b,r4:b
11380 + *[0-9a-f]*:   fc 0e 01 be     mulnhh\.w lr,lr:t,lr:t
11381 + *[0-9a-f]*:   fa 09 01 ab     mulnhh\.w r11,sp:t,r9:b
11382 + *[0-9a-f]*:   e8 0e 01 9d     mulnhh\.w sp,r4:b,lr:t
11383 + *[0-9a-f]*:   e4 0b 01 ac     mulnhh\.w r12,r2:t,r11:b
11384 +
11385 +[0-9a-f]* <mulnwh_d>:
11386 + *[0-9a-f]*:   fe 0f 02 80     mulnwh\.d r0,pc,pc:b
11387 + *[0-9a-f]*:   f8 0c 02 9e     mulnwh\.d lr,r12,r12:t
11388 + *[0-9a-f]*:   ea 05 02 98     mulnwh\.d r8,r5,r5:t
11389 + *[0-9a-f]*:   e8 04 02 86     mulnwh\.d r6,r4,r4:b
11390 + *[0-9a-f]*:   fc 0e 02 92     mulnwh\.d r2,lr,lr:t
11391 + *[0-9a-f]*:   e6 02 02 9e     mulnwh\.d lr,r3,r2:t
11392 + *[0-9a-f]*:   ea 09 02 84     mulnwh\.d r4,r5,r9:b
11393 + *[0-9a-f]*:   e8 04 02 9c     mulnwh\.d r12,r4,r4:t
11394 +
11395 +[0-9a-f]* <machh_w>:
11396 + *[0-9a-f]*:   fe 0f 04 8f     machh\.w pc,pc:b,pc:b
11397 + *[0-9a-f]*:   f8 0c 04 bc     machh\.w r12,r12:t,r12:t
11398 + *[0-9a-f]*:   ea 05 04 b5     machh\.w r5,r5:t,r5:t
11399 + *[0-9a-f]*:   e8 04 04 84     machh\.w r4,r4:b,r4:b
11400 + *[0-9a-f]*:   fc 0e 04 be     machh\.w lr,lr:t,lr:t
11401 + *[0-9a-f]*:   ea 01 04 9e     machh\.w lr,r5:b,r1:t
11402 + *[0-9a-f]*:   ec 07 04 89     machh\.w r9,r6:b,r7:b
11403 + *[0-9a-f]*:   fc 0c 04 a5     machh\.w r5,lr:t,r12:b
11404 +
11405 +[0-9a-f]* <machh_d>:
11406 + *[0-9a-f]*:   fe 0f 05 80     machh\.d r0,pc:b,pc:b
11407 + *[0-9a-f]*:   f8 0c 05 be     machh\.d lr,r12:t,r12:t
11408 + *[0-9a-f]*:   ea 05 05 b8     machh\.d r8,r5:t,r5:t
11409 + *[0-9a-f]*:   e8 04 05 86     machh\.d r6,r4:b,r4:b
11410 + *[0-9a-f]*:   fc 0e 05 b2     machh\.d r2,lr:t,lr:t
11411 + *[0-9a-f]*:   e0 08 05 8a     machh\.d r10,r0:b,r8:b
11412 + *[0-9a-f]*:   e8 05 05 9e     machh\.d lr,r4:b,r5:t
11413 + *[0-9a-f]*:   e0 04 05 98     machh\.d r8,r0:b,r4:t
11414 +
11415 +[0-9a-f]* <macsathh_w>:
11416 + *[0-9a-f]*:   fe 0f 06 8f     macsathh\.w pc,pc:b,pc:b
11417 + *[0-9a-f]*:   f8 0c 06 bc     macsathh\.w r12,r12:t,r12:t
11418 + *[0-9a-f]*:   ea 05 06 b5     macsathh\.w r5,r5:t,r5:t
11419 + *[0-9a-f]*:   e8 04 06 84     macsathh\.w r4,r4:b,r4:b
11420 + *[0-9a-f]*:   fc 0e 06 be     macsathh\.w lr,lr:t,lr:t
11421 + *[0-9a-f]*:   ee 0f 06 b7     macsathh\.w r7,r7:t,pc:t
11422 + *[0-9a-f]*:   e4 04 06 a4     macsathh\.w r4,r2:t,r4:b
11423 + *[0-9a-f]*:   f0 03 06 b4     macsathh\.w r4,r8:t,r3:t
11424 +
11425 +[0-9a-f]* <mulhh_w>:
11426 + *[0-9a-f]*:   fe 0f 07 8f     mulhh\.w pc,pc:b,pc:b
11427 + *[0-9a-f]*:   f8 0c 07 bc     mulhh\.w r12,r12:t,r12:t
11428 + *[0-9a-f]*:   ea 05 07 b5     mulhh\.w r5,r5:t,r5:t
11429 + *[0-9a-f]*:   e8 04 07 84     mulhh\.w r4,r4:b,r4:b
11430 + *[0-9a-f]*:   fc 0e 07 be     mulhh\.w lr,lr:t,lr:t
11431 + *[0-9a-f]*:   e8 09 07 a7     mulhh\.w r7,r4:t,r9:b
11432 + *[0-9a-f]*:   e6 07 07 bf     mulhh\.w pc,r3:t,r7:t
11433 + *[0-9a-f]*:   e8 09 07 9f     mulhh\.w pc,r4:b,r9:t
11434 +
11435 +[0-9a-f]* <mulsathh_h>:
11436 + *[0-9a-f]*:   fe 0f 08 8f     mulsathh\.h pc,pc:b,pc:b
11437 + *[0-9a-f]*:   f8 0c 08 bc     mulsathh\.h r12,r12:t,r12:t
11438 + *[0-9a-f]*:   ea 05 08 b5     mulsathh\.h r5,r5:t,r5:t
11439 + *[0-9a-f]*:   e8 04 08 84     mulsathh\.h r4,r4:b,r4:b
11440 + *[0-9a-f]*:   fc 0e 08 be     mulsathh\.h lr,lr:t,lr:t
11441 + *[0-9a-f]*:   e2 0d 08 83     mulsathh\.h r3,r1:b,sp:b
11442 + *[0-9a-f]*:   fc 0b 08 ab     mulsathh\.h r11,lr:t,r11:b
11443 + *[0-9a-f]*:   f0 0b 08 98     mulsathh\.h r8,r8:b,r11:t
11444 +
11445 +[0-9a-f]* <mulsathh_w>:
11446 + *[0-9a-f]*:   fe 0f 09 8f     mulsathh\.w pc,pc:b,pc:b
11447 + *[0-9a-f]*:   f8 0c 09 bc     mulsathh\.w r12,r12:t,r12:t
11448 + *[0-9a-f]*:   ea 05 09 b5     mulsathh\.w r5,r5:t,r5:t
11449 + *[0-9a-f]*:   e8 04 09 84     mulsathh\.w r4,r4:b,r4:b
11450 + *[0-9a-f]*:   fc 0e 09 be     mulsathh\.w lr,lr:t,lr:t
11451 + *[0-9a-f]*:   f6 06 09 ae     mulsathh\.w lr,r11:t,r6:b
11452 + *[0-9a-f]*:   ec 07 09 96     mulsathh\.w r6,r6:b,r7:t
11453 + *[0-9a-f]*:   e4 03 09 8a     mulsathh\.w r10,r2:b,r3:b
11454 +
11455 +[0-9a-f]* <mulsatrndhh_h>:
11456 + *[0-9a-f]*:   fe 0f 0a 8f     mulsatrndhh\.h pc,pc:b,pc:b
11457 + *[0-9a-f]*:   f8 0c 0a bc     mulsatrndhh\.h r12,r12:t,r12:t
11458 + *[0-9a-f]*:   ea 05 0a b5     mulsatrndhh\.h r5,r5:t,r5:t
11459 + *[0-9a-f]*:   e8 04 0a 84     mulsatrndhh\.h r4,r4:b,r4:b
11460 + *[0-9a-f]*:   fc 0e 0a be     mulsatrndhh\.h lr,lr:t,lr:t
11461 + *[0-9a-f]*:   ec 09 0a 8b     mulsatrndhh\.h r11,r6:b,r9:b
11462 + *[0-9a-f]*:   e6 08 0a 9b     mulsatrndhh\.h r11,r3:b,r8:t
11463 + *[0-9a-f]*:   fa 07 0a b5     mulsatrndhh\.h r5,sp:t,r7:t
11464 +
11465 +[0-9a-f]* <mulsatrndwh_w>:
11466 + *[0-9a-f]*:   fe 0f 0b 8f     mulsatrndwh\.w pc,pc,pc:b
11467 + *[0-9a-f]*:   f8 0c 0b 9c     mulsatrndwh\.w r12,r12,r12:t
11468 + *[0-9a-f]*:   ea 05 0b 95     mulsatrndwh\.w r5,r5,r5:t
11469 + *[0-9a-f]*:   e8 04 0b 84     mulsatrndwh\.w r4,r4,r4:b
11470 + *[0-9a-f]*:   fc 0e 0b 9e     mulsatrndwh\.w lr,lr,lr:t
11471 + *[0-9a-f]*:   f8 00 0b 85     mulsatrndwh\.w r5,r12,r0:b
11472 + *[0-9a-f]*:   f4 0f 0b 87     mulsatrndwh\.w r7,r10,pc:b
11473 + *[0-9a-f]*:   f0 05 0b 9a     mulsatrndwh\.w r10,r8,r5:t
11474 +
11475 +[0-9a-f]* <macwh_d>:
11476 + *[0-9a-f]*:   fe 0f 0c 80     macwh\.d r0,pc,pc:b
11477 + *[0-9a-f]*:   f8 0c 0c 9e     macwh\.d lr,r12,r12:t
11478 + *[0-9a-f]*:   ea 05 0c 98     macwh\.d r8,r5,r5:t
11479 + *[0-9a-f]*:   e8 04 0c 86     macwh\.d r6,r4,r4:b
11480 + *[0-9a-f]*:   fc 0e 0c 92     macwh\.d r2,lr,lr:t
11481 + *[0-9a-f]*:   f4 0c 0c 94     macwh\.d r4,r10,r12:t
11482 + *[0-9a-f]*:   ee 0d 0c 84     macwh\.d r4,r7,sp:b
11483 + *[0-9a-f]*:   f2 0b 0c 8e     macwh\.d lr,r9,r11:b
11484 +
11485 +[0-9a-f]* <mulwh_d>:
11486 + *[0-9a-f]*:   fe 0f 0d 80     mulwh\.d r0,pc,pc:b
11487 + *[0-9a-f]*:   f8 0c 0d 9e     mulwh\.d lr,r12,r12:t
11488 + *[0-9a-f]*:   ea 05 0d 98     mulwh\.d r8,r5,r5:t
11489 + *[0-9a-f]*:   e8 04 0d 86     mulwh\.d r6,r4,r4:b
11490 + *[0-9a-f]*:   fc 0e 0d 92     mulwh\.d r2,lr,lr:t
11491 + *[0-9a-f]*:   ea 01 0d 8c     mulwh\.d r12,r5,r1:b
11492 + *[0-9a-f]*:   e2 03 0d 90     mulwh\.d r0,r1,r3:t
11493 + *[0-9a-f]*:   f2 02 0d 80     mulwh\.d r0,r9,r2:b
11494 +
11495 +[0-9a-f]* <mulsatwh_w>:
11496 + *[0-9a-f]*:   fe 0f 0e 8f     mulsatwh\.w pc,pc,pc:b
11497 + *[0-9a-f]*:   f8 0c 0e 9c     mulsatwh\.w r12,r12,r12:t
11498 + *[0-9a-f]*:   ea 05 0e 95     mulsatwh\.w r5,r5,r5:t
11499 + *[0-9a-f]*:   e8 04 0e 84     mulsatwh\.w r4,r4,r4:b
11500 + *[0-9a-f]*:   fc 0e 0e 9e     mulsatwh\.w lr,lr,lr:t
11501 + *[0-9a-f]*:   fe 0a 0e 9b     mulsatwh\.w r11,pc,r10:t
11502 + *[0-9a-f]*:   f8 09 0e 9d     mulsatwh\.w sp,r12,r9:t
11503 + *[0-9a-f]*:   e6 02 0e 90     mulsatwh\.w r0,r3,r2:t
11504 +
11505 +[0-9a-f]* <ldw7>:
11506 + *[0-9a-f]*:   fe 0f 0f 8f     ld\.w pc,pc\[pc:b<<2\]
11507 + *[0-9a-f]*:   f8 0c 0f bc     ld\.w r12,r12\[r12:t<<2\]
11508 + *[0-9a-f]*:   ea 05 0f a5     ld\.w r5,r5\[r5:u<<2\]
11509 + *[0-9a-f]*:   e8 04 0f 94     ld\.w r4,r4\[r4:l<<2\]
11510 + *[0-9a-f]*:   fc 0e 0f 9e     ld\.w lr,lr\[lr:l<<2\]
11511 + *[0-9a-f]*:   f4 06 0f 99     ld\.w r9,r10\[r6:l<<2\]
11512 + *[0-9a-f]*:   f4 0a 0f 82     ld\.w r2,r10\[r10:b<<2\]
11513 + *[0-9a-f]*:   ea 0f 0f 8b     ld\.w r11,r5\[pc:b<<2\]
11514 +
11515 +[0-9a-f]* <satadd_w>:
11516 + *[0-9a-f]*:   fe 0f 00 cf     satadd\.w pc,pc,pc
11517 + *[0-9a-f]*:   f8 0c 00 cc     satadd\.w r12,r12,r12
11518 + *[0-9a-f]*:   ea 05 00 c5     satadd\.w r5,r5,r5
11519 + *[0-9a-f]*:   e8 04 00 c4     satadd\.w r4,r4,r4
11520 + *[0-9a-f]*:   fc 0e 00 ce     satadd\.w lr,lr,lr
11521 + *[0-9a-f]*:   f0 0b 00 c4     satadd\.w r4,r8,r11
11522 + *[0-9a-f]*:   f8 06 00 c3     satadd\.w r3,r12,r6
11523 + *[0-9a-f]*:   fc 09 00 c3     satadd\.w r3,lr,r9
11524 +
11525 +[0-9a-f]* <satsub_w1>:
11526 + *[0-9a-f]*:   fe 0f 01 cf     satsub\.w pc,pc,pc
11527 + *[0-9a-f]*:   f8 0c 01 cc     satsub\.w r12,r12,r12
11528 + *[0-9a-f]*:   ea 05 01 c5     satsub\.w r5,r5,r5
11529 + *[0-9a-f]*:   e8 04 01 c4     satsub\.w r4,r4,r4
11530 + *[0-9a-f]*:   fc 0e 01 ce     satsub\.w lr,lr,lr
11531 + *[0-9a-f]*:   fa 00 01 c8     satsub\.w r8,sp,r0
11532 + *[0-9a-f]*:   f0 04 01 c9     satsub\.w r9,r8,r4
11533 + *[0-9a-f]*:   fc 02 01 cf     satsub\.w pc,lr,r2
11534 +
11535 +[0-9a-f]* <satadd_h>:
11536 + *[0-9a-f]*:   fe 0f 02 cf     satadd\.h pc,pc,pc
11537 + *[0-9a-f]*:   f8 0c 02 cc     satadd\.h r12,r12,r12
11538 + *[0-9a-f]*:   ea 05 02 c5     satadd\.h r5,r5,r5
11539 + *[0-9a-f]*:   e8 04 02 c4     satadd\.h r4,r4,r4
11540 + *[0-9a-f]*:   fc 0e 02 ce     satadd\.h lr,lr,lr
11541 + *[0-9a-f]*:   e6 09 02 c7     satadd\.h r7,r3,r9
11542 + *[0-9a-f]*:   e0 02 02 c1     satadd\.h r1,r0,r2
11543 + *[0-9a-f]*:   e8 0e 02 c1     satadd\.h r1,r4,lr
11544 +
11545 +[0-9a-f]* <satsub_h>:
11546 + *[0-9a-f]*:   fe 0f 03 cf     satsub\.h pc,pc,pc
11547 + *[0-9a-f]*:   f8 0c 03 cc     satsub\.h r12,r12,r12
11548 + *[0-9a-f]*:   ea 05 03 c5     satsub\.h r5,r5,r5
11549 + *[0-9a-f]*:   e8 04 03 c4     satsub\.h r4,r4,r4
11550 + *[0-9a-f]*:   fc 0e 03 ce     satsub\.h lr,lr,lr
11551 + *[0-9a-f]*:   fc 03 03 ce     satsub\.h lr,lr,r3
11552 + *[0-9a-f]*:   ec 05 03 cb     satsub\.h r11,r6,r5
11553 + *[0-9a-f]*:   fa 00 03 c3     satsub\.h r3,sp,r0
11554 +
11555 +[0-9a-f]* <mul3>:
11556 + *[0-9a-f]*:   fe 0f 10 00     mul pc,pc,0
11557 + *[0-9a-f]*:   f8 0c 10 ff     mul r12,r12,-1
11558 + *[0-9a-f]*:   ea 05 10 80     mul r5,r5,-128
11559 + *[0-9a-f]*:   e8 04 10 7f     mul r4,r4,127
11560 + *[0-9a-f]*:   fc 0e 10 01     mul lr,lr,1
11561 + *[0-9a-f]*:   e4 0c 10 f9     mul r12,r2,-7
11562 + *[0-9a-f]*:   fe 01 10 5f     mul r1,pc,95
11563 + *[0-9a-f]*:   ec 04 10 13     mul r4,r6,19
11564 +
11565 +[0-9a-f]* <rsub2>:
11566 + *[0-9a-f]*:   fe 0f 11 00     rsub pc,pc,0
11567 + *[0-9a-f]*:   f8 0c 11 ff     rsub r12,r12,-1
11568 + *[0-9a-f]*:   ea 05 11 80     rsub r5,r5,-128
11569 + *[0-9a-f]*:   e8 04 11 7f     rsub r4,r4,127
11570 + *[0-9a-f]*:   fc 0e 11 01     rsub lr,lr,1
11571 + *[0-9a-f]*:   fc 09 11 60     rsub r9,lr,96
11572 + *[0-9a-f]*:   e2 0b 11 38     rsub r11,r1,56
11573 + *[0-9a-f]*:   ee 00 11 a9     rsub r0,r7,-87
11574 +
11575 +[0-9a-f]* <clz>:
11576 + *[0-9a-f]*:   fe 0f 12 00     clz pc,pc
11577 + *[0-9a-f]*:   f8 0c 12 00     clz r12,r12
11578 + *[0-9a-f]*:   ea 05 12 00     clz r5,r5
11579 + *[0-9a-f]*:   e8 04 12 00     clz r4,r4
11580 + *[0-9a-f]*:   fc 0e 12 00     clz lr,lr
11581 + *[0-9a-f]*:   e6 02 12 00     clz r2,r3
11582 + *[0-9a-f]*:   f6 05 12 00     clz r5,r11
11583 + *[0-9a-f]*:   e6 0f 12 00     clz pc,r3
11584 +
11585 +[0-9a-f]* <cpc1>:
11586 + *[0-9a-f]*:   fe 0f 13 00     cpc pc,pc
11587 + *[0-9a-f]*:   f8 0c 13 00     cpc r12,r12
11588 + *[0-9a-f]*:   ea 05 13 00     cpc r5,r5
11589 + *[0-9a-f]*:   e8 04 13 00     cpc r4,r4
11590 + *[0-9a-f]*:   fc 0e 13 00     cpc lr,lr
11591 + *[0-9a-f]*:   e8 0f 13 00     cpc pc,r4
11592 + *[0-9a-f]*:   f2 05 13 00     cpc r5,r9
11593 + *[0-9a-f]*:   ee 06 13 00     cpc r6,r7
11594 +
11595 +[0-9a-f]* <asr3>:
11596 + *[0-9a-f]*:   fe 0f 14 00     asr pc,pc,0x0
11597 + *[0-9a-f]*:   f8 0c 14 1f     asr r12,r12,0x1f
11598 + *[0-9a-f]*:   ea 05 14 10     asr r5,r5,0x10
11599 + *[0-9a-f]*:   e8 04 14 0f     asr r4,r4,0xf
11600 + *[0-9a-f]*:   fc 0e 14 01     asr lr,lr,0x1
11601 + *[0-9a-f]*:   f6 04 14 13     asr r4,r11,0x13
11602 + *[0-9a-f]*:   fe 0d 14 1a     asr sp,pc,0x1a
11603 + *[0-9a-f]*:   fa 0b 14 08     asr r11,sp,0x8
11604 +
11605 +[0-9a-f]* <lsl3>:
11606 + *[0-9a-f]*:   fe 0f 15 00     lsl pc,pc,0x0
11607 + *[0-9a-f]*:   f8 0c 15 1f     lsl r12,r12,0x1f
11608 + *[0-9a-f]*:   ea 05 15 10     lsl r5,r5,0x10
11609 + *[0-9a-f]*:   e8 04 15 0f     lsl r4,r4,0xf
11610 + *[0-9a-f]*:   fc 0e 15 01     lsl lr,lr,0x1
11611 + *[0-9a-f]*:   f4 08 15 11     lsl r8,r10,0x11
11612 + *[0-9a-f]*:   fc 02 15 03     lsl r2,lr,0x3
11613 + *[0-9a-f]*:   f6 0e 15 0e     lsl lr,r11,0xe
11614 +
11615 +[0-9a-f]* <lsr3>:
11616 + *[0-9a-f]*:   fe 0f 16 00     lsr pc,pc,0x0
11617 + *[0-9a-f]*:   f8 0c 16 1f     lsr r12,r12,0x1f
11618 + *[0-9a-f]*:   ea 05 16 10     lsr r5,r5,0x10
11619 + *[0-9a-f]*:   e8 04 16 0f     lsr r4,r4,0xf
11620 + *[0-9a-f]*:   fc 0e 16 01     lsr lr,lr,0x1
11621 + *[0-9a-f]*:   e6 04 16 1f     lsr r4,r3,0x1f
11622 + *[0-9a-f]*:   f2 0f 16 0e     lsr pc,r9,0xe
11623 + *[0-9a-f]*:   e0 03 16 06     lsr r3,r0,0x6
11624 +
11625 +[0-9a-f]* <movc1>:
11626 + *[0-9a-f]*:   fe 0f 17 00     moveq pc,pc
11627 + *[0-9a-f]*:   f8 0c 17 f0     moval r12,r12
11628 + *[0-9a-f]*:   ea 05 17 80     movls r5,r5
11629 + *[0-9a-f]*:   e8 04 17 70     movpl r4,r4
11630 + *[0-9a-f]*:   fc 0e 17 10     movne lr,lr
11631 + *[0-9a-f]*:   f6 0f 17 10     movne pc,r11
11632 + *[0-9a-f]*:   e4 0a 17 60     movmi r10,r2
11633 + *[0-9a-f]*:   f8 08 17 80     movls r8,r12
11634 +
11635 +[0-9a-f]* <padd_h>:
11636 + *[0-9a-f]*:   fe 0f 20 0f     padd\.h pc,pc,pc
11637 + *[0-9a-f]*:   f8 0c 20 0c     padd\.h r12,r12,r12
11638 + *[0-9a-f]*:   ea 05 20 05     padd\.h r5,r5,r5
11639 + *[0-9a-f]*:   e8 04 20 04     padd\.h r4,r4,r4
11640 + *[0-9a-f]*:   fc 0e 20 0e     padd\.h lr,lr,lr
11641 + *[0-9a-f]*:   e4 07 20 08     padd\.h r8,r2,r7
11642 + *[0-9a-f]*:   e0 03 20 00     padd\.h r0,r0,r3
11643 + *[0-9a-f]*:   f6 06 20 0d     padd\.h sp,r11,r6
11644 +
11645 +[0-9a-f]* <psub_h>:
11646 + *[0-9a-f]*:   fe 0f 20 1f     psub\.h pc,pc,pc
11647 + *[0-9a-f]*:   f8 0c 20 1c     psub\.h r12,r12,r12
11648 + *[0-9a-f]*:   ea 05 20 15     psub\.h r5,r5,r5
11649 + *[0-9a-f]*:   e8 04 20 14     psub\.h r4,r4,r4
11650 + *[0-9a-f]*:   fc 0e 20 1e     psub\.h lr,lr,lr
11651 + *[0-9a-f]*:   ec 08 20 1e     psub\.h lr,r6,r8
11652 + *[0-9a-f]*:   e2 0d 20 10     psub\.h r0,r1,sp
11653 + *[0-9a-f]*:   fe 0d 20 1f     psub\.h pc,pc,sp
11654 +
11655 +[0-9a-f]* <paddx_h>:
11656 + *[0-9a-f]*:   fe 0f 20 2f     paddx\.h pc,pc,pc
11657 + *[0-9a-f]*:   f8 0c 20 2c     paddx\.h r12,r12,r12
11658 + *[0-9a-f]*:   ea 05 20 25     paddx\.h r5,r5,r5
11659 + *[0-9a-f]*:   e8 04 20 24     paddx\.h r4,r4,r4
11660 + *[0-9a-f]*:   fc 0e 20 2e     paddx\.h lr,lr,lr
11661 + *[0-9a-f]*:   fe 01 20 2f     paddx\.h pc,pc,r1
11662 + *[0-9a-f]*:   e8 05 20 2a     paddx\.h r10,r4,r5
11663 + *[0-9a-f]*:   fe 02 20 25     paddx\.h r5,pc,r2
11664 +
11665 +[0-9a-f]* <psubx_h>:
11666 + *[0-9a-f]*:   fe 0f 20 3f     psubx\.h pc,pc,pc
11667 + *[0-9a-f]*:   f8 0c 20 3c     psubx\.h r12,r12,r12
11668 + *[0-9a-f]*:   ea 05 20 35     psubx\.h r5,r5,r5
11669 + *[0-9a-f]*:   e8 04 20 34     psubx\.h r4,r4,r4
11670 + *[0-9a-f]*:   fc 0e 20 3e     psubx\.h lr,lr,lr
11671 + *[0-9a-f]*:   f8 05 20 35     psubx\.h r5,r12,r5
11672 + *[0-9a-f]*:   f0 03 20 33     psubx\.h r3,r8,r3
11673 + *[0-9a-f]*:   e4 03 20 35     psubx\.h r5,r2,r3
11674 +
11675 +[0-9a-f]* <padds_sh>:
11676 + *[0-9a-f]*:   fe 0f 20 4f     padds\.sh pc,pc,pc
11677 + *[0-9a-f]*:   f8 0c 20 4c     padds\.sh r12,r12,r12
11678 + *[0-9a-f]*:   ea 05 20 45     padds\.sh r5,r5,r5
11679 + *[0-9a-f]*:   e8 04 20 44     padds\.sh r4,r4,r4
11680 + *[0-9a-f]*:   fc 0e 20 4e     padds\.sh lr,lr,lr
11681 + *[0-9a-f]*:   fc 02 20 49     padds\.sh r9,lr,r2
11682 + *[0-9a-f]*:   f0 01 20 46     padds\.sh r6,r8,r1
11683 + *[0-9a-f]*:   e8 0a 20 46     padds\.sh r6,r4,r10
11684 +
11685 +[0-9a-f]* <psubs_sh>:
11686 + *[0-9a-f]*:   fe 0f 20 5f     psubs\.sh pc,pc,pc
11687 + *[0-9a-f]*:   f8 0c 20 5c     psubs\.sh r12,r12,r12
11688 + *[0-9a-f]*:   ea 05 20 55     psubs\.sh r5,r5,r5
11689 + *[0-9a-f]*:   e8 04 20 54     psubs\.sh r4,r4,r4
11690 + *[0-9a-f]*:   fc 0e 20 5e     psubs\.sh lr,lr,lr
11691 + *[0-9a-f]*:   fc 0b 20 56     psubs\.sh r6,lr,r11
11692 + *[0-9a-f]*:   f8 04 20 52     psubs\.sh r2,r12,r4
11693 + *[0-9a-f]*:   f2 00 20 50     psubs\.sh r0,r9,r0
11694 +
11695 +[0-9a-f]* <paddxs_sh>:
11696 + *[0-9a-f]*:   fe 0f 20 6f     paddxs\.sh pc,pc,pc
11697 + *[0-9a-f]*:   f8 0c 20 6c     paddxs\.sh r12,r12,r12
11698 + *[0-9a-f]*:   ea 05 20 65     paddxs\.sh r5,r5,r5
11699 + *[0-9a-f]*:   e8 04 20 64     paddxs\.sh r4,r4,r4
11700 + *[0-9a-f]*:   fc 0e 20 6e     paddxs\.sh lr,lr,lr
11701 + *[0-9a-f]*:   e6 09 20 60     paddxs\.sh r0,r3,r9
11702 + *[0-9a-f]*:   f4 0b 20 6f     paddxs\.sh pc,r10,r11
11703 + *[0-9a-f]*:   f4 0f 20 6f     paddxs\.sh pc,r10,pc
11704 +
11705 +[0-9a-f]* <psubxs_sh>:
11706 + *[0-9a-f]*:   fe 0f 20 7f     psubxs\.sh pc,pc,pc
11707 + *[0-9a-f]*:   f8 0c 20 7c     psubxs\.sh r12,r12,r12
11708 + *[0-9a-f]*:   ea 05 20 75     psubxs\.sh r5,r5,r5
11709 + *[0-9a-f]*:   e8 04 20 74     psubxs\.sh r4,r4,r4
11710 + *[0-9a-f]*:   fc 0e 20 7e     psubxs\.sh lr,lr,lr
11711 + *[0-9a-f]*:   e8 04 20 77     psubxs\.sh r7,r4,r4
11712 + *[0-9a-f]*:   f0 03 20 77     psubxs\.sh r7,r8,r3
11713 + *[0-9a-f]*:   ec 05 20 7f     psubxs\.sh pc,r6,r5
11714 +
11715 +[0-9a-f]* <padds_uh>:
11716 + *[0-9a-f]*:   fe 0f 20 8f     padds\.uh pc,pc,pc
11717 + *[0-9a-f]*:   f8 0c 20 8c     padds\.uh r12,r12,r12
11718 + *[0-9a-f]*:   ea 05 20 85     padds\.uh r5,r5,r5
11719 + *[0-9a-f]*:   e8 04 20 84     padds\.uh r4,r4,r4
11720 + *[0-9a-f]*:   fc 0e 20 8e     padds\.uh lr,lr,lr
11721 + *[0-9a-f]*:   f6 07 20 8c     padds\.uh r12,r11,r7
11722 + *[0-9a-f]*:   f0 0e 20 87     padds\.uh r7,r8,lr
11723 + *[0-9a-f]*:   f2 07 20 86     padds\.uh r6,r9,r7
11724 +
11725 +[0-9a-f]* <psubs_uh>:
11726 + *[0-9a-f]*:   fe 0f 20 9f     psubs\.uh pc,pc,pc
11727 + *[0-9a-f]*:   f8 0c 20 9c     psubs\.uh r12,r12,r12
11728 + *[0-9a-f]*:   ea 05 20 95     psubs\.uh r5,r5,r5
11729 + *[0-9a-f]*:   e8 04 20 94     psubs\.uh r4,r4,r4
11730 + *[0-9a-f]*:   fc 0e 20 9e     psubs\.uh lr,lr,lr
11731 + *[0-9a-f]*:   f4 06 20 9e     psubs\.uh lr,r10,r6
11732 + *[0-9a-f]*:   e4 0f 20 9d     psubs\.uh sp,r2,pc
11733 + *[0-9a-f]*:   f2 02 20 92     psubs\.uh r2,r9,r2
11734 +
11735 +[0-9a-f]* <paddxs_uh>:
11736 + *[0-9a-f]*:   fe 0f 20 af     paddxs\.uh pc,pc,pc
11737 + *[0-9a-f]*:   f8 0c 20 ac     paddxs\.uh r12,r12,r12
11738 + *[0-9a-f]*:   ea 05 20 a5     paddxs\.uh r5,r5,r5
11739 + *[0-9a-f]*:   e8 04 20 a4     paddxs\.uh r4,r4,r4
11740 + *[0-9a-f]*:   fc 0e 20 ae     paddxs\.uh lr,lr,lr
11741 + *[0-9a-f]*:   f2 05 20 a7     paddxs\.uh r7,r9,r5
11742 + *[0-9a-f]*:   e2 04 20 a9     paddxs\.uh r9,r1,r4
11743 + *[0-9a-f]*:   e4 03 20 a5     paddxs\.uh r5,r2,r3
11744 +
11745 +[0-9a-f]* <psubxs_uh>:
11746 + *[0-9a-f]*:   fe 0f 20 bf     psubxs\.uh pc,pc,pc
11747 + *[0-9a-f]*:   f8 0c 20 bc     psubxs\.uh r12,r12,r12
11748 + *[0-9a-f]*:   ea 05 20 b5     psubxs\.uh r5,r5,r5
11749 + *[0-9a-f]*:   e8 04 20 b4     psubxs\.uh r4,r4,r4
11750 + *[0-9a-f]*:   fc 0e 20 be     psubxs\.uh lr,lr,lr
11751 + *[0-9a-f]*:   ea 0d 20 bd     psubxs\.uh sp,r5,sp
11752 + *[0-9a-f]*:   ec 06 20 bd     psubxs\.uh sp,r6,r6
11753 + *[0-9a-f]*:   f6 08 20 b3     psubxs\.uh r3,r11,r8
11754 +
11755 +[0-9a-f]* <paddh_sh>:
11756 + *[0-9a-f]*:   fe 0f 20 cf     paddh\.sh pc,pc,pc
11757 + *[0-9a-f]*:   f8 0c 20 cc     paddh\.sh r12,r12,r12
11758 + *[0-9a-f]*:   ea 05 20 c5     paddh\.sh r5,r5,r5
11759 + *[0-9a-f]*:   e8 04 20 c4     paddh\.sh r4,r4,r4
11760 + *[0-9a-f]*:   fc 0e 20 ce     paddh\.sh lr,lr,lr
11761 + *[0-9a-f]*:   fa 03 20 cc     paddh\.sh r12,sp,r3
11762 + *[0-9a-f]*:   ea 03 20 cf     paddh\.sh pc,r5,r3
11763 + *[0-9a-f]*:   f0 0d 20 c8     paddh\.sh r8,r8,sp
11764 +
11765 +[0-9a-f]* <psubh_sh>:
11766 + *[0-9a-f]*:   fe 0f 20 df     psubh\.sh pc,pc,pc
11767 + *[0-9a-f]*:   f8 0c 20 dc     psubh\.sh r12,r12,r12
11768 + *[0-9a-f]*:   ea 05 20 d5     psubh\.sh r5,r5,r5
11769 + *[0-9a-f]*:   e8 04 20 d4     psubh\.sh r4,r4,r4
11770 + *[0-9a-f]*:   fc 0e 20 de     psubh\.sh lr,lr,lr
11771 + *[0-9a-f]*:   ea 08 20 d1     psubh\.sh r1,r5,r8
11772 + *[0-9a-f]*:   e6 06 20 d7     psubh\.sh r7,r3,r6
11773 + *[0-9a-f]*:   e6 03 20 d4     psubh\.sh r4,r3,r3
11774 +
11775 +[0-9a-f]* <paddxh_sh>:
11776 + *[0-9a-f]*:   fe 0f 20 ef     paddxh\.sh pc,pc,pc
11777 + *[0-9a-f]*:   f8 0c 20 ec     paddxh\.sh r12,r12,r12
11778 + *[0-9a-f]*:   ea 05 20 e5     paddxh\.sh r5,r5,r5
11779 + *[0-9a-f]*:   e8 04 20 e4     paddxh\.sh r4,r4,r4
11780 + *[0-9a-f]*:   fc 0e 20 ee     paddxh\.sh lr,lr,lr
11781 + *[0-9a-f]*:   e0 04 20 e6     paddxh\.sh r6,r0,r4
11782 + *[0-9a-f]*:   f0 09 20 e9     paddxh\.sh r9,r8,r9
11783 + *[0-9a-f]*:   e0 0d 20 e3     paddxh\.sh r3,r0,sp
11784 +
11785 +[0-9a-f]* <psubxh_sh>:
11786 + *[0-9a-f]*:   fe 0f 20 ff     psubxh\.sh pc,pc,pc
11787 + *[0-9a-f]*:   f8 0c 20 fc     psubxh\.sh r12,r12,r12
11788 + *[0-9a-f]*:   ea 05 20 f5     psubxh\.sh r5,r5,r5
11789 + *[0-9a-f]*:   e8 04 20 f4     psubxh\.sh r4,r4,r4
11790 + *[0-9a-f]*:   fc 0e 20 fe     psubxh\.sh lr,lr,lr
11791 + *[0-9a-f]*:   fe 0c 20 f4     psubxh\.sh r4,pc,r12
11792 + *[0-9a-f]*:   e8 06 20 f8     psubxh\.sh r8,r4,r6
11793 + *[0-9a-f]*:   f2 04 20 fc     psubxh\.sh r12,r9,r4
11794 +
11795 +[0-9a-f]* <paddsub_h>:
11796 + *[0-9a-f]*:   fe 0f 21 0f     paddsub\.h pc,pc:b,pc:b
11797 + *[0-9a-f]*:   f8 0c 21 3c     paddsub\.h r12,r12:t,r12:t
11798 + *[0-9a-f]*:   ea 05 21 35     paddsub\.h r5,r5:t,r5:t
11799 + *[0-9a-f]*:   e8 04 21 04     paddsub\.h r4,r4:b,r4:b
11800 + *[0-9a-f]*:   fc 0e 21 3e     paddsub\.h lr,lr:t,lr:t
11801 + *[0-9a-f]*:   e4 0e 21 25     paddsub\.h r5,r2:t,lr:b
11802 + *[0-9a-f]*:   e2 08 21 07     paddsub\.h r7,r1:b,r8:b
11803 + *[0-9a-f]*:   f4 05 21 36     paddsub\.h r6,r10:t,r5:t
11804 +
11805 +[0-9a-f]* <psubadd_h>:
11806 + *[0-9a-f]*:   fe 0f 21 4f     psubadd\.h pc,pc:b,pc:b
11807 + *[0-9a-f]*:   f8 0c 21 7c     psubadd\.h r12,r12:t,r12:t
11808 + *[0-9a-f]*:   ea 05 21 75     psubadd\.h r5,r5:t,r5:t
11809 + *[0-9a-f]*:   e8 04 21 44     psubadd\.h r4,r4:b,r4:b
11810 + *[0-9a-f]*:   fc 0e 21 7e     psubadd\.h lr,lr:t,lr:t
11811 + *[0-9a-f]*:   f6 08 21 79     psubadd\.h r9,r11:t,r8:t
11812 + *[0-9a-f]*:   ee 0e 21 7a     psubadd\.h r10,r7:t,lr:t
11813 + *[0-9a-f]*:   fe 0f 21 66     psubadd\.h r6,pc:t,pc:b
11814 +
11815 +[0-9a-f]* <paddsubs_sh>:
11816 + *[0-9a-f]*:   fe 0f 21 8f     paddsubs\.sh pc,pc:b,pc:b
11817 + *[0-9a-f]*:   f8 0c 21 bc     paddsubs\.sh r12,r12:t,r12:t
11818 + *[0-9a-f]*:   ea 05 21 b5     paddsubs\.sh r5,r5:t,r5:t
11819 + *[0-9a-f]*:   e8 04 21 84     paddsubs\.sh r4,r4:b,r4:b
11820 + *[0-9a-f]*:   fc 0e 21 be     paddsubs\.sh lr,lr:t,lr:t
11821 + *[0-9a-f]*:   fc 00 21 a0     paddsubs\.sh r0,lr:t,r0:b
11822 + *[0-9a-f]*:   e4 04 21 b9     paddsubs\.sh r9,r2:t,r4:t
11823 + *[0-9a-f]*:   f2 0d 21 bc     paddsubs\.sh r12,r9:t,sp:t
11824 +
11825 +[0-9a-f]* <psubadds_sh>:
11826 + *[0-9a-f]*:   fe 0f 21 cf     psubadds\.sh pc,pc:b,pc:b
11827 + *[0-9a-f]*:   f8 0c 21 fc     psubadds\.sh r12,r12:t,r12:t
11828 + *[0-9a-f]*:   ea 05 21 f5     psubadds\.sh r5,r5:t,r5:t
11829 + *[0-9a-f]*:   e8 04 21 c4     psubadds\.sh r4,r4:b,r4:b
11830 + *[0-9a-f]*:   fc 0e 21 fe     psubadds\.sh lr,lr:t,lr:t
11831 + *[0-9a-f]*:   fc 01 21 df     psubadds\.sh pc,lr:b,r1:t
11832 + *[0-9a-f]*:   e6 0c 21 cb     psubadds\.sh r11,r3:b,r12:b
11833 + *[0-9a-f]*:   e4 08 21 fa     psubadds\.sh r10,r2:t,r8:t
11834 +
11835 +[0-9a-f]* <paddsubs_uh>:
11836 + *[0-9a-f]*:   fe 0f 22 0f     paddsubs\.uh pc,pc:b,pc:b
11837 + *[0-9a-f]*:   f8 0c 22 3c     paddsubs\.uh r12,r12:t,r12:t
11838 + *[0-9a-f]*:   ea 05 22 35     paddsubs\.uh r5,r5:t,r5:t
11839 + *[0-9a-f]*:   e8 04 22 04     paddsubs\.uh r4,r4:b,r4:b
11840 + *[0-9a-f]*:   fc 0e 22 3e     paddsubs\.uh lr,lr:t,lr:t
11841 + *[0-9a-f]*:   e4 03 22 09     paddsubs\.uh r9,r2:b,r3:b
11842 + *[0-9a-f]*:   fa 07 22 1d     paddsubs\.uh sp,sp:b,r7:t
11843 + *[0-9a-f]*:   e0 0a 22 1e     paddsubs\.uh lr,r0:b,r10:t
11844 +
11845 +[0-9a-f]* <psubadds_uh>:
11846 + *[0-9a-f]*:   fe 0f 22 4f     psubadds\.uh pc,pc:b,pc:b
11847 + *[0-9a-f]*:   f8 0c 22 7c     psubadds\.uh r12,r12:t,r12:t
11848 + *[0-9a-f]*:   ea 05 22 75     psubadds\.uh r5,r5:t,r5:t
11849 + *[0-9a-f]*:   e8 04 22 44     psubadds\.uh r4,r4:b,r4:b
11850 + *[0-9a-f]*:   fc 0e 22 7e     psubadds\.uh lr,lr:t,lr:t
11851 + *[0-9a-f]*:   f2 0f 22 7c     psubadds\.uh r12,r9:t,pc:t
11852 + *[0-9a-f]*:   ec 08 22 48     psubadds\.uh r8,r6:b,r8:b
11853 + *[0-9a-f]*:   f0 04 22 48     psubadds\.uh r8,r8:b,r4:b
11854 +
11855 +[0-9a-f]* <paddsubh_sh>:
11856 + *[0-9a-f]*:   fe 0f 22 8f     paddsubh\.sh pc,pc:b,pc:b
11857 + *[0-9a-f]*:   f8 0c 22 bc     paddsubh\.sh r12,r12:t,r12:t
11858 + *[0-9a-f]*:   ea 05 22 b5     paddsubh\.sh r5,r5:t,r5:t
11859 + *[0-9a-f]*:   e8 04 22 84     paddsubh\.sh r4,r4:b,r4:b
11860 + *[0-9a-f]*:   fc 0e 22 be     paddsubh\.sh lr,lr:t,lr:t
11861 + *[0-9a-f]*:   f2 09 22 a8     paddsubh\.sh r8,r9:t,r9:b
11862 + *[0-9a-f]*:   fa 01 22 b0     paddsubh\.sh r0,sp:t,r1:t
11863 + *[0-9a-f]*:   e2 00 22 93     paddsubh\.sh r3,r1:b,r0:t
11864 +
11865 +[0-9a-f]* <psubaddh_sh>:
11866 + *[0-9a-f]*:   fe 0f 22 cf     psubaddh\.sh pc,pc:b,pc:b
11867 + *[0-9a-f]*:   f8 0c 22 fc     psubaddh\.sh r12,r12:t,r12:t
11868 + *[0-9a-f]*:   ea 05 22 f5     psubaddh\.sh r5,r5:t,r5:t
11869 + *[0-9a-f]*:   e8 04 22 c4     psubaddh\.sh r4,r4:b,r4:b
11870 + *[0-9a-f]*:   fc 0e 22 fe     psubaddh\.sh lr,lr:t,lr:t
11871 + *[0-9a-f]*:   e6 0a 22 e7     psubaddh\.sh r7,r3:t,r10:b
11872 + *[0-9a-f]*:   e4 01 22 f7     psubaddh\.sh r7,r2:t,r1:t
11873 + *[0-9a-f]*:   e6 06 22 cb     psubaddh\.sh r11,r3:b,r6:b
11874 +
11875 +[0-9a-f]* <padd_b>:
11876 + *[0-9a-f]*:   fe 0f 23 0f     padd\.b pc,pc,pc
11877 + *[0-9a-f]*:   f8 0c 23 0c     padd\.b r12,r12,r12
11878 + *[0-9a-f]*:   ea 05 23 05     padd\.b r5,r5,r5
11879 + *[0-9a-f]*:   e8 04 23 04     padd\.b r4,r4,r4
11880 + *[0-9a-f]*:   fc 0e 23 0e     padd\.b lr,lr,lr
11881 + *[0-9a-f]*:   ec 0f 23 02     padd\.b r2,r6,pc
11882 + *[0-9a-f]*:   f2 0c 23 08     padd\.b r8,r9,r12
11883 + *[0-9a-f]*:   f8 03 23 05     padd\.b r5,r12,r3
11884 +
11885 +[0-9a-f]* <psub_b>:
11886 + *[0-9a-f]*:   fe 0f 23 1f     psub\.b pc,pc,pc
11887 + *[0-9a-f]*:   f8 0c 23 1c     psub\.b r12,r12,r12
11888 + *[0-9a-f]*:   ea 05 23 15     psub\.b r5,r5,r5
11889 + *[0-9a-f]*:   e8 04 23 14     psub\.b r4,r4,r4
11890 + *[0-9a-f]*:   fc 0e 23 1e     psub\.b lr,lr,lr
11891 + *[0-9a-f]*:   f8 0f 23 10     psub\.b r0,r12,pc
11892 + *[0-9a-f]*:   fa 0a 23 17     psub\.b r7,sp,r10
11893 + *[0-9a-f]*:   fa 0c 23 15     psub\.b r5,sp,r12
11894 +
11895 +[0-9a-f]* <padds_sb>:
11896 + *[0-9a-f]*:   fe 0f 23 2f     padds\.sb pc,pc,pc
11897 + *[0-9a-f]*:   f8 0c 23 2c     padds\.sb r12,r12,r12
11898 + *[0-9a-f]*:   ea 05 23 25     padds\.sb r5,r5,r5
11899 + *[0-9a-f]*:   e8 04 23 24     padds\.sb r4,r4,r4
11900 + *[0-9a-f]*:   fc 0e 23 2e     padds\.sb lr,lr,lr
11901 + *[0-9a-f]*:   f6 04 23 2d     padds\.sb sp,r11,r4
11902 + *[0-9a-f]*:   f4 0b 23 2b     padds\.sb r11,r10,r11
11903 + *[0-9a-f]*:   f8 06 23 25     padds\.sb r5,r12,r6
11904 +
11905 +[0-9a-f]* <psubs_sb>:
11906 + *[0-9a-f]*:   fe 0f 23 3f     psubs\.sb pc,pc,pc
11907 + *[0-9a-f]*:   f8 0c 23 3c     psubs\.sb r12,r12,r12
11908 + *[0-9a-f]*:   ea 05 23 35     psubs\.sb r5,r5,r5
11909 + *[0-9a-f]*:   e8 04 23 34     psubs\.sb r4,r4,r4
11910 + *[0-9a-f]*:   fc 0e 23 3e     psubs\.sb lr,lr,lr
11911 + *[0-9a-f]*:   ec 08 23 37     psubs\.sb r7,r6,r8
11912 + *[0-9a-f]*:   f4 09 23 3c     psubs\.sb r12,r10,r9
11913 + *[0-9a-f]*:   f6 00 23 3f     psubs\.sb pc,r11,r0
11914 +
11915 +[0-9a-f]* <padds_ub>:
11916 + *[0-9a-f]*:   fe 0f 23 4f     padds\.ub pc,pc,pc
11917 + *[0-9a-f]*:   f8 0c 23 4c     padds\.ub r12,r12,r12
11918 + *[0-9a-f]*:   ea 05 23 45     padds\.ub r5,r5,r5
11919 + *[0-9a-f]*:   e8 04 23 44     padds\.ub r4,r4,r4
11920 + *[0-9a-f]*:   fc 0e 23 4e     padds\.ub lr,lr,lr
11921 + *[0-9a-f]*:   e4 0b 23 43     padds\.ub r3,r2,r11
11922 + *[0-9a-f]*:   f0 01 23 4a     padds\.ub r10,r8,r1
11923 + *[0-9a-f]*:   f0 0a 23 4b     padds\.ub r11,r8,r10
11924 +
11925 +[0-9a-f]* <psubs_ub>:
11926 + *[0-9a-f]*:   fe 0f 23 5f     psubs\.ub pc,pc,pc
11927 + *[0-9a-f]*:   f8 0c 23 5c     psubs\.ub r12,r12,r12
11928 + *[0-9a-f]*:   ea 05 23 55     psubs\.ub r5,r5,r5
11929 + *[0-9a-f]*:   e8 04 23 54     psubs\.ub r4,r4,r4
11930 + *[0-9a-f]*:   fc 0e 23 5e     psubs\.ub lr,lr,lr
11931 + *[0-9a-f]*:   e4 07 23 50     psubs\.ub r0,r2,r7
11932 + *[0-9a-f]*:   ea 03 23 5e     psubs\.ub lr,r5,r3
11933 + *[0-9a-f]*:   ee 09 23 56     psubs\.ub r6,r7,r9
11934 +
11935 +[0-9a-f]* <paddh_ub>:
11936 + *[0-9a-f]*:   fe 0f 23 6f     paddh\.ub pc,pc,pc
11937 + *[0-9a-f]*:   f8 0c 23 6c     paddh\.ub r12,r12,r12
11938 + *[0-9a-f]*:   ea 05 23 65     paddh\.ub r5,r5,r5
11939 + *[0-9a-f]*:   e8 04 23 64     paddh\.ub r4,r4,r4
11940 + *[0-9a-f]*:   fc 0e 23 6e     paddh\.ub lr,lr,lr
11941 + *[0-9a-f]*:   e2 00 23 6e     paddh\.ub lr,r1,r0
11942 + *[0-9a-f]*:   ee 07 23 62     paddh\.ub r2,r7,r7
11943 + *[0-9a-f]*:   e2 02 23 62     paddh\.ub r2,r1,r2
11944 +
11945 +[0-9a-f]* <psubh_ub>:
11946 + *[0-9a-f]*:   fe 0f 23 7f     psubh\.ub pc,pc,pc
11947 + *[0-9a-f]*:   f8 0c 23 7c     psubh\.ub r12,r12,r12
11948 + *[0-9a-f]*:   ea 05 23 75     psubh\.ub r5,r5,r5
11949 + *[0-9a-f]*:   e8 04 23 74     psubh\.ub r4,r4,r4
11950 + *[0-9a-f]*:   fc 0e 23 7e     psubh\.ub lr,lr,lr
11951 + *[0-9a-f]*:   e2 06 23 70     psubh\.ub r0,r1,r6
11952 + *[0-9a-f]*:   fc 0a 23 74     psubh\.ub r4,lr,r10
11953 + *[0-9a-f]*:   f0 01 23 79     psubh\.ub r9,r8,r1
11954 +
11955 +[0-9a-f]* <pmax_ub>:
11956 + *[0-9a-f]*:   fe 0f 23 8f     pmax\.ub pc,pc,pc
11957 + *[0-9a-f]*:   f8 0c 23 8c     pmax\.ub r12,r12,r12
11958 + *[0-9a-f]*:   ea 05 23 85     pmax\.ub r5,r5,r5
11959 + *[0-9a-f]*:   e8 04 23 84     pmax\.ub r4,r4,r4
11960 + *[0-9a-f]*:   fc 0e 23 8e     pmax\.ub lr,lr,lr
11961 + *[0-9a-f]*:   e4 0b 23 8f     pmax\.ub pc,r2,r11
11962 + *[0-9a-f]*:   e2 01 23 8c     pmax\.ub r12,r1,r1
11963 + *[0-9a-f]*:   e4 00 23 85     pmax\.ub r5,r2,r0
11964 +
11965 +[0-9a-f]* <pmax_sh>:
11966 + *[0-9a-f]*:   fe 0f 23 9f     pmax\.sh pc,pc,pc
11967 + *[0-9a-f]*:   f8 0c 23 9c     pmax\.sh r12,r12,r12
11968 + *[0-9a-f]*:   ea 05 23 95     pmax\.sh r5,r5,r5
11969 + *[0-9a-f]*:   e8 04 23 94     pmax\.sh r4,r4,r4
11970 + *[0-9a-f]*:   fc 0e 23 9e     pmax\.sh lr,lr,lr
11971 + *[0-9a-f]*:   ec 0c 23 9e     pmax\.sh lr,r6,r12
11972 + *[0-9a-f]*:   fe 05 23 92     pmax\.sh r2,pc,r5
11973 + *[0-9a-f]*:   e4 07 23 9f     pmax\.sh pc,r2,r7
11974 +
11975 +[0-9a-f]* <pmin_ub>:
11976 + *[0-9a-f]*:   fe 0f 23 af     pmin\.ub pc,pc,pc
11977 + *[0-9a-f]*:   f8 0c 23 ac     pmin\.ub r12,r12,r12
11978 + *[0-9a-f]*:   ea 05 23 a5     pmin\.ub r5,r5,r5
11979 + *[0-9a-f]*:   e8 04 23 a4     pmin\.ub r4,r4,r4
11980 + *[0-9a-f]*:   fc 0e 23 ae     pmin\.ub lr,lr,lr
11981 + *[0-9a-f]*:   e2 05 23 a8     pmin\.ub r8,r1,r5
11982 + *[0-9a-f]*:   f0 03 23 a1     pmin\.ub r1,r8,r3
11983 + *[0-9a-f]*:   e4 07 23 a0     pmin\.ub r0,r2,r7
11984 +
11985 +[0-9a-f]* <pmin_sh>:
11986 + *[0-9a-f]*:   fe 0f 23 bf     pmin\.sh pc,pc,pc
11987 + *[0-9a-f]*:   f8 0c 23 bc     pmin\.sh r12,r12,r12
11988 + *[0-9a-f]*:   ea 05 23 b5     pmin\.sh r5,r5,r5
11989 + *[0-9a-f]*:   e8 04 23 b4     pmin\.sh r4,r4,r4
11990 + *[0-9a-f]*:   fc 0e 23 be     pmin\.sh lr,lr,lr
11991 + *[0-9a-f]*:   e8 0a 23 b8     pmin\.sh r8,r4,r10
11992 + *[0-9a-f]*:   f4 0c 23 be     pmin\.sh lr,r10,r12
11993 + *[0-9a-f]*:   ec 02 23 b2     pmin\.sh r2,r6,r2
11994 +
11995 +[0-9a-f]* <pavg_ub>:
11996 + *[0-9a-f]*:   fe 0f 23 cf     pavg\.ub pc,pc,pc
11997 + *[0-9a-f]*:   f8 0c 23 cc     pavg\.ub r12,r12,r12
11998 + *[0-9a-f]*:   ea 05 23 c5     pavg\.ub r5,r5,r5
11999 + *[0-9a-f]*:   e8 04 23 c4     pavg\.ub r4,r4,r4
12000 + *[0-9a-f]*:   fc 0e 23 ce     pavg\.ub lr,lr,lr
12001 + *[0-9a-f]*:   e2 06 23 c0     pavg\.ub r0,r1,r6
12002 + *[0-9a-f]*:   e6 06 23 c8     pavg\.ub r8,r3,r6
12003 + *[0-9a-f]*:   f8 0a 23 cf     pavg\.ub pc,r12,r10
12004 +
12005 +[0-9a-f]* <pavg_sh>:
12006 + *[0-9a-f]*:   fe 0f 23 df     pavg\.sh pc,pc,pc
12007 + *[0-9a-f]*:   f8 0c 23 dc     pavg\.sh r12,r12,r12
12008 + *[0-9a-f]*:   ea 05 23 d5     pavg\.sh r5,r5,r5
12009 + *[0-9a-f]*:   e8 04 23 d4     pavg\.sh r4,r4,r4
12010 + *[0-9a-f]*:   fc 0e 23 de     pavg\.sh lr,lr,lr
12011 + *[0-9a-f]*:   fe 0d 23 d9     pavg\.sh r9,pc,sp
12012 + *[0-9a-f]*:   fa 03 23 df     pavg\.sh pc,sp,r3
12013 + *[0-9a-f]*:   e2 09 23 d6     pavg\.sh r6,r1,r9
12014 +
12015 +[0-9a-f]* <pabs_sb>:
12016 + *[0-9a-f]*:   e0 0f 23 ef     pabs\.sb pc,pc
12017 + *[0-9a-f]*:   e0 0c 23 ec     pabs\.sb r12,r12
12018 + *[0-9a-f]*:   e0 05 23 e5     pabs\.sb r5,r5
12019 + *[0-9a-f]*:   e0 04 23 e4     pabs\.sb r4,r4
12020 + *[0-9a-f]*:   e0 0e 23 ee     pabs\.sb lr,lr
12021 + *[0-9a-f]*:   e0 06 23 eb     pabs\.sb r11,r6
12022 + *[0-9a-f]*:   e0 09 23 ee     pabs\.sb lr,r9
12023 + *[0-9a-f]*:   e0 07 23 ed     pabs\.sb sp,r7
12024 +
12025 +[0-9a-f]* <pabs_sh>:
12026 + *[0-9a-f]*:   e0 0f 23 ff     pabs\.sh pc,pc
12027 + *[0-9a-f]*:   e0 0c 23 fc     pabs\.sh r12,r12
12028 + *[0-9a-f]*:   e0 05 23 f5     pabs\.sh r5,r5
12029 + *[0-9a-f]*:   e0 04 23 f4     pabs\.sh r4,r4
12030 + *[0-9a-f]*:   e0 0e 23 fe     pabs\.sh lr,lr
12031 + *[0-9a-f]*:   e0 03 23 ff     pabs\.sh pc,r3
12032 + *[0-9a-f]*:   e0 07 23 f5     pabs\.sh r5,r7
12033 + *[0-9a-f]*:   e0 00 23 f4     pabs\.sh r4,r0
12034 +
12035 +[0-9a-f]* <psad>:
12036 + *[0-9a-f]*:   fe 0f 24 0f     psad pc,pc,pc
12037 + *[0-9a-f]*:   f8 0c 24 0c     psad r12,r12,r12
12038 + *[0-9a-f]*:   ea 05 24 05     psad r5,r5,r5
12039 + *[0-9a-f]*:   e8 04 24 04     psad r4,r4,r4
12040 + *[0-9a-f]*:   fc 0e 24 0e     psad lr,lr,lr
12041 + *[0-9a-f]*:   f6 0b 24 09     psad r9,r11,r11
12042 + *[0-9a-f]*:   e8 0d 24 0e     psad lr,r4,sp
12043 + *[0-9a-f]*:   e8 05 24 0e     psad lr,r4,r5
12044 +
12045 +[0-9a-f]* <pasr_b>:
12046 + *[0-9a-f]*:   fe 00 24 1f     pasr\.b pc,pc,0x0
12047 + *[0-9a-f]*:   f8 07 24 1c     pasr\.b r12,r12,0x7
12048 + *[0-9a-f]*:   ea 04 24 15     pasr\.b r5,r5,0x4
12049 + *[0-9a-f]*:   e8 03 24 14     pasr\.b r4,r4,0x3
12050 + *[0-9a-f]*:   fc 01 24 1e     pasr\.b lr,lr,0x1
12051 + *[0-9a-f]*:   ee 01 24 1f     pasr\.b pc,r7,0x1
12052 + *[0-9a-f]*:   fc 06 24 1d     pasr\.b sp,lr,0x6
12053 + *[0-9a-f]*:   e6 02 24 1d     pasr\.b sp,r3,0x2
12054 +
12055 +[0-9a-f]* <plsl_b>:
12056 + *[0-9a-f]*:   fe 00 24 2f     plsl\.b pc,pc,0x0
12057 + *[0-9a-f]*:   f8 07 24 2c     plsl\.b r12,r12,0x7
12058 + *[0-9a-f]*:   ea 04 24 25     plsl\.b r5,r5,0x4
12059 + *[0-9a-f]*:   e8 03 24 24     plsl\.b r4,r4,0x3
12060 + *[0-9a-f]*:   fc 01 24 2e     plsl\.b lr,lr,0x1
12061 + *[0-9a-f]*:   f6 04 24 22     plsl\.b r2,r11,0x4
12062 + *[0-9a-f]*:   ea 07 24 28     plsl\.b r8,r5,0x7
12063 + *[0-9a-f]*:   e0 02 24 2f     plsl\.b pc,r0,0x2
12064 +
12065 +[0-9a-f]* <plsr_b>:
12066 + *[0-9a-f]*:   fe 00 24 3f     plsr\.b pc,pc,0x0
12067 + *[0-9a-f]*:   f8 07 24 3c     plsr\.b r12,r12,0x7
12068 + *[0-9a-f]*:   ea 04 24 35     plsr\.b r5,r5,0x4
12069 + *[0-9a-f]*:   e8 03 24 34     plsr\.b r4,r4,0x3
12070 + *[0-9a-f]*:   fc 01 24 3e     plsr\.b lr,lr,0x1
12071 + *[0-9a-f]*:   e2 02 24 3c     plsr\.b r12,r1,0x2
12072 + *[0-9a-f]*:   fe 07 24 36     plsr\.b r6,pc,0x7
12073 + *[0-9a-f]*:   f6 02 24 3c     plsr\.b r12,r11,0x2
12074 +
12075 +[0-9a-f]* <pasr_h>:
12076 + *[0-9a-f]*:   fe 00 24 4f     pasr\.h pc,pc,0x0
12077 + *[0-9a-f]*:   f8 0f 24 4c     pasr\.h r12,r12,0xf
12078 + *[0-9a-f]*:   ea 08 24 45     pasr\.h r5,r5,0x8
12079 + *[0-9a-f]*:   e8 07 24 44     pasr\.h r4,r4,0x7
12080 + *[0-9a-f]*:   fc 01 24 4e     pasr\.h lr,lr,0x1
12081 + *[0-9a-f]*:   f6 0a 24 40     pasr\.h r0,r11,0xa
12082 + *[0-9a-f]*:   ec 08 24 44     pasr\.h r4,r6,0x8
12083 + *[0-9a-f]*:   e4 04 24 46     pasr\.h r6,r2,0x4
12084 +
12085 +[0-9a-f]* <plsl_h>:
12086 + *[0-9a-f]*:   fe 00 24 5f     plsl\.h pc,pc,0x0
12087 + *[0-9a-f]*:   f8 0f 24 5c     plsl\.h r12,r12,0xf
12088 + *[0-9a-f]*:   ea 08 24 55     plsl\.h r5,r5,0x8
12089 + *[0-9a-f]*:   e8 07 24 54     plsl\.h r4,r4,0x7
12090 + *[0-9a-f]*:   fc 01 24 5e     plsl\.h lr,lr,0x1
12091 + *[0-9a-f]*:   f4 09 24 55     plsl\.h r5,r10,0x9
12092 + *[0-9a-f]*:   fc 08 24 5d     plsl\.h sp,lr,0x8
12093 + *[0-9a-f]*:   fc 07 24 50     plsl\.h r0,lr,0x7
12094 +
12095 +[0-9a-f]* <plsr_h>:
12096 + *[0-9a-f]*:   fe 00 24 6f     plsr\.h pc,pc,0x0
12097 + *[0-9a-f]*:   f8 0f 24 6c     plsr\.h r12,r12,0xf
12098 + *[0-9a-f]*:   ea 08 24 65     plsr\.h r5,r5,0x8
12099 + *[0-9a-f]*:   e8 07 24 64     plsr\.h r4,r4,0x7
12100 + *[0-9a-f]*:   fc 01 24 6e     plsr\.h lr,lr,0x1
12101 + *[0-9a-f]*:   e0 0f 24 6b     plsr\.h r11,r0,0xf
12102 + *[0-9a-f]*:   e6 03 24 6e     plsr\.h lr,r3,0x3
12103 + *[0-9a-f]*:   fc 0a 24 68     plsr\.h r8,lr,0xa
12104 +
12105 +[0-9a-f]* <packw_sh>:
12106 + *[0-9a-f]*:   fe 0f 24 7f     packw\.sh pc,pc,pc
12107 + *[0-9a-f]*:   f8 0c 24 7c     packw\.sh r12,r12,r12
12108 + *[0-9a-f]*:   ea 05 24 75     packw\.sh r5,r5,r5
12109 + *[0-9a-f]*:   e8 04 24 74     packw\.sh r4,r4,r4
12110 + *[0-9a-f]*:   fc 0e 24 7e     packw\.sh lr,lr,lr
12111 + *[0-9a-f]*:   f6 0a 24 7d     packw\.sh sp,r11,r10
12112 + *[0-9a-f]*:   e4 0c 24 78     packw\.sh r8,r2,r12
12113 + *[0-9a-f]*:   e2 05 24 78     packw\.sh r8,r1,r5
12114 +
12115 +[0-9a-f]* <punpckub_h>:
12116 + *[0-9a-f]*:   fe 00 24 8f     punpckub\.h pc,pc:b
12117 + *[0-9a-f]*:   f8 00 24 9c     punpckub\.h r12,r12:t
12118 + *[0-9a-f]*:   ea 00 24 95     punpckub\.h r5,r5:t
12119 + *[0-9a-f]*:   e8 00 24 84     punpckub\.h r4,r4:b
12120 + *[0-9a-f]*:   fc 00 24 9e     punpckub\.h lr,lr:t
12121 + *[0-9a-f]*:   e2 00 24 96     punpckub\.h r6,r1:t
12122 + *[0-9a-f]*:   ea 00 24 8e     punpckub\.h lr,r5:b
12123 + *[0-9a-f]*:   e4 00 24 9e     punpckub\.h lr,r2:t
12124 +
12125 +[0-9a-f]* <punpcksb_h>:
12126 + *[0-9a-f]*:   fe 00 24 af     punpcksb\.h pc,pc:b
12127 + *[0-9a-f]*:   f8 00 24 bc     punpcksb\.h r12,r12:t
12128 + *[0-9a-f]*:   ea 00 24 b5     punpcksb\.h r5,r5:t
12129 + *[0-9a-f]*:   e8 00 24 a4     punpcksb\.h r4,r4:b
12130 + *[0-9a-f]*:   fc 00 24 be     punpcksb\.h lr,lr:t
12131 + *[0-9a-f]*:   ee 00 24 b4     punpcksb\.h r4,r7:t
12132 + *[0-9a-f]*:   fc 00 24 a6     punpcksb\.h r6,lr:b
12133 + *[0-9a-f]*:   f8 00 24 bc     punpcksb\.h r12,r12:t
12134 +
12135 +[0-9a-f]* <packsh_ub>:
12136 + *[0-9a-f]*:   fe 0f 24 cf     packsh\.ub pc,pc,pc
12137 + *[0-9a-f]*:   f8 0c 24 cc     packsh\.ub r12,r12,r12
12138 + *[0-9a-f]*:   ea 05 24 c5     packsh\.ub r5,r5,r5
12139 + *[0-9a-f]*:   e8 04 24 c4     packsh\.ub r4,r4,r4
12140 + *[0-9a-f]*:   fc 0e 24 ce     packsh\.ub lr,lr,lr
12141 + *[0-9a-f]*:   ec 03 24 c3     packsh\.ub r3,r6,r3
12142 + *[0-9a-f]*:   e0 03 24 c8     packsh\.ub r8,r0,r3
12143 + *[0-9a-f]*:   e6 0e 24 c9     packsh\.ub r9,r3,lr
12144 +
12145 +[0-9a-f]* <packsh_sb>:
12146 + *[0-9a-f]*:   fe 0f 24 df     packsh\.sb pc,pc,pc
12147 + *[0-9a-f]*:   f8 0c 24 dc     packsh\.sb r12,r12,r12
12148 + *[0-9a-f]*:   ea 05 24 d5     packsh\.sb r5,r5,r5
12149 + *[0-9a-f]*:   e8 04 24 d4     packsh\.sb r4,r4,r4
12150 + *[0-9a-f]*:   fc 0e 24 de     packsh\.sb lr,lr,lr
12151 + *[0-9a-f]*:   f0 01 24 d6     packsh\.sb r6,r8,r1
12152 + *[0-9a-f]*:   f2 08 24 de     packsh\.sb lr,r9,r8
12153 + *[0-9a-f]*:   ec 06 24 dd     packsh\.sb sp,r6,r6
12154 +
12155 +[0-9a-f]* <andl>:
12156 + *[0-9a-f]*:   e0 1f 00 00     andl pc,0x0
12157 + *[0-9a-f]*:   e0 1c ff ff     andl r12,0xffff
12158 + *[0-9a-f]*:   e0 15 80 00     andl r5,0x8000
12159 + *[0-9a-f]*:   e0 14 7f ff     andl r4,0x7fff
12160 + *[0-9a-f]*:   e0 1e 00 01     andl lr,0x1
12161 + *[0-9a-f]*:   e0 1f 5a 58     andl pc,0x5a58
12162 + *[0-9a-f]*:   e0 18 b8 9e     andl r8,0xb89e
12163 + *[0-9a-f]*:   e0 17 35 97     andl r7,0x3597
12164 +
12165 +[0-9a-f]* <andl_coh>:
12166 + *[0-9a-f]*:   e2 1f 00 00     andl pc,0x0,COH
12167 + *[0-9a-f]*:   e2 1c ff ff     andl r12,0xffff,COH
12168 + *[0-9a-f]*:   e2 15 80 00     andl r5,0x8000,COH
12169 + *[0-9a-f]*:   e2 14 7f ff     andl r4,0x7fff,COH
12170 + *[0-9a-f]*:   e2 1e 00 01     andl lr,0x1,COH
12171 + *[0-9a-f]*:   e2 16 58 e1     andl r6,0x58e1,COH
12172 + *[0-9a-f]*:   e2 10 9e cd     andl r0,0x9ecd,COH
12173 + *[0-9a-f]*:   e2 14 bd c4     andl r4,0xbdc4,COH
12174 +
12175 +[0-9a-f]* <andh>:
12176 + *[0-9a-f]*:   e4 1f 00 00     andh pc,0x0
12177 + *[0-9a-f]*:   e4 1c ff ff     andh r12,0xffff
12178 + *[0-9a-f]*:   e4 15 80 00     andh r5,0x8000
12179 + *[0-9a-f]*:   e4 14 7f ff     andh r4,0x7fff
12180 + *[0-9a-f]*:   e4 1e 00 01     andh lr,0x1
12181 + *[0-9a-f]*:   e4 1c cc 58     andh r12,0xcc58
12182 + *[0-9a-f]*:   e4 13 21 e3     andh r3,0x21e3
12183 + *[0-9a-f]*:   e4 12 a7 eb     andh r2,0xa7eb
12184 +
12185 +[0-9a-f]* <andh_coh>:
12186 + *[0-9a-f]*:   e6 1f 00 00     andh pc,0x0,COH
12187 + *[0-9a-f]*:   e6 1c ff ff     andh r12,0xffff,COH
12188 + *[0-9a-f]*:   e6 15 80 00     andh r5,0x8000,COH
12189 + *[0-9a-f]*:   e6 14 7f ff     andh r4,0x7fff,COH
12190 + *[0-9a-f]*:   e6 1e 00 01     andh lr,0x1,COH
12191 + *[0-9a-f]*:   e6 1b 86 0d     andh r11,0x860d,COH
12192 + *[0-9a-f]*:   e6 18 ce f6     andh r8,0xcef6,COH
12193 + *[0-9a-f]*:   e6 1a 5c 83     andh r10,0x5c83,COH
12194 +
12195 +[0-9a-f]* <orl>:
12196 + *[0-9a-f]*:   e8 1f 00 00     orl pc,0x0
12197 + *[0-9a-f]*:   e8 1c ff ff     orl r12,0xffff
12198 + *[0-9a-f]*:   e8 15 80 00     orl r5,0x8000
12199 + *[0-9a-f]*:   e8 14 7f ff     orl r4,0x7fff
12200 + *[0-9a-f]*:   e8 1e 00 01     orl lr,0x1
12201 + *[0-9a-f]*:   e8 1d 41 7e     orl sp,0x417e
12202 + *[0-9a-f]*:   e8 10 52 bd     orl r0,0x52bd
12203 + *[0-9a-f]*:   e8 1f ac 47     orl pc,0xac47
12204 +
12205 +[0-9a-f]* <orh>:
12206 + *[0-9a-f]*:   ea 1f 00 00     orh pc,0x0
12207 + *[0-9a-f]*:   ea 1c ff ff     orh r12,0xffff
12208 + *[0-9a-f]*:   ea 15 80 00     orh r5,0x8000
12209 + *[0-9a-f]*:   ea 14 7f ff     orh r4,0x7fff
12210 + *[0-9a-f]*:   ea 1e 00 01     orh lr,0x1
12211 + *[0-9a-f]*:   ea 18 6e 7d     orh r8,0x6e7d
12212 + *[0-9a-f]*:   ea 1c 77 1c     orh r12,0x771c
12213 + *[0-9a-f]*:   ea 11 ea 1a     orh r1,0xea1a
12214 +
12215 +[0-9a-f]* <eorl>:
12216 + *[0-9a-f]*:   ec 1f 00 00     eorl pc,0x0
12217 + *[0-9a-f]*:   ec 1c ff ff     eorl r12,0xffff
12218 + *[0-9a-f]*:   ec 15 80 00     eorl r5,0x8000
12219 + *[0-9a-f]*:   ec 14 7f ff     eorl r4,0x7fff
12220 + *[0-9a-f]*:   ec 1e 00 01     eorl lr,0x1
12221 + *[0-9a-f]*:   ec 14 c7 b9     eorl r4,0xc7b9
12222 + *[0-9a-f]*:   ec 16 fb dd     eorl r6,0xfbdd
12223 + *[0-9a-f]*:   ec 11 51 b1     eorl r1,0x51b1
12224 +
12225 +[0-9a-f]* <eorh>:
12226 + *[0-9a-f]*:   ee 1f 00 00     eorh pc,0x0
12227 + *[0-9a-f]*:   ee 1c ff ff     eorh r12,0xffff
12228 + *[0-9a-f]*:   ee 15 80 00     eorh r5,0x8000
12229 + *[0-9a-f]*:   ee 14 7f ff     eorh r4,0x7fff
12230 + *[0-9a-f]*:   ee 1e 00 01     eorh lr,0x1
12231 + *[0-9a-f]*:   ee 10 2d d4     eorh r0,0x2dd4
12232 + *[0-9a-f]*:   ee 1a 94 b5     eorh r10,0x94b5
12233 + *[0-9a-f]*:   ee 19 df 2a     eorh r9,0xdf2a
12234 +
12235 +[0-9a-f]* <mcall>:
12236 + *[0-9a-f]*:   f0 1f 00 00     mcall [0-9a-f]* <.*>
12237 + *[0-9a-f]*:   f0 1c ff ff     mcall r12\[-4\]
12238 + *[0-9a-f]*:   f0 15 80 00     mcall r5\[-131072\]
12239 + *[0-9a-f]*:   f0 14 7f ff     mcall r4\[131068\]
12240 + *[0-9a-f]*:   f0 1e 00 01     mcall lr\[4\]
12241 + *[0-9a-f]*:   f0 1d 3b bf     mcall sp\[61180\]
12242 + *[0-9a-f]*:   f0 14 dd d2     mcall r4\[-35000\]
12243 + *[0-9a-f]*:   f0 10 09 b1     mcall r0\[9924\]
12244 +
12245 +[0-9a-f]* <pref>:
12246 + *[0-9a-f]*:   f2 1f 00 00     pref pc\[0\]
12247 + *[0-9a-f]*:   f2 1c ff ff     pref r12\[-1\]
12248 + *[0-9a-f]*:   f2 15 80 00     pref r5\[-32768\]
12249 + *[0-9a-f]*:   f2 14 7f ff     pref r4\[32767\]
12250 + *[0-9a-f]*:   f2 1e 00 01     pref lr\[1\]
12251 + *[0-9a-f]*:   f2 17 1e 44     pref r7\[7748\]
12252 + *[0-9a-f]*:   f2 17 e1 ed     pref r7\[-7699\]
12253 + *[0-9a-f]*:   f2 12 9a dc     pref r2\[-25892\]
12254 +
12255 +[0-9a-f]* <cache>:
12256 + *[0-9a-f]*:   f4 1f 00 00     cache pc\[0\],0x0
12257 + *[0-9a-f]*:   f4 1c ff ff     cache r12\[-1\],0x1f
12258 + *[0-9a-f]*:   f4 15 84 00     cache r5\[-1024\],0x10
12259 + *[0-9a-f]*:   f4 14 7b ff     cache r4\[1023\],0xf
12260 + *[0-9a-f]*:   f4 1e 08 01     cache lr\[1\],0x1
12261 + *[0-9a-f]*:   f4 13 8c 3c     cache r3\[-964\],0x11
12262 + *[0-9a-f]*:   f4 14 b6 89     cache r4\[-375\],0x16
12263 + *[0-9a-f]*:   f4 13 8c 88     cache r3\[-888\],0x11
12264 +
12265 +[0-9a-f]* <sub4>:
12266 + *[0-9a-f]*:   20 0f           sub pc,0
12267 + *[0-9a-f]*:   2f fc           sub r12,-1
12268 + *[0-9a-f]*:   f0 25 00 00     sub r5,-1048576
12269 + *[0-9a-f]*:   ee 34 ff ff     sub r4,1048575
12270 + *[0-9a-f]*:   20 1e           sub lr,1
12271 + *[0-9a-f]*:   f6 22 8d 6c     sub r2,-619156
12272 + *[0-9a-f]*:   e6 3e 0a cd     sub lr,461517
12273 + *[0-9a-f]*:   fc 38 2d 25     sub r8,-185051
12274 +
12275 +[0-9a-f]* <cp3>:
12276 + *[0-9a-f]*:   58 0f           cp.w pc,0
12277 + *[0-9a-f]*:   5b fc           cp.w r12,-1
12278 + *[0-9a-f]*:   f0 45 00 00     cp.w r5,-1048576
12279 + *[0-9a-f]*:   ee 54 ff ff     cp.w r4,1048575
12280 + *[0-9a-f]*:   58 1e           cp.w lr,1
12281 + *[0-9a-f]*:   e0 51 e4 ae     cp.w r1,124078
12282 + *[0-9a-f]*:   fa 40 37 e3     cp.w r0,-378909
12283 + *[0-9a-f]*:   fc 44 4a 14     cp.w r4,-243180
12284 +
12285 +[0-9a-f]* <mov2>:
12286 + *[0-9a-f]*:   30 0f           mov pc,0
12287 + *[0-9a-f]*:   3f fc           mov r12,-1
12288 + *[0-9a-f]*:   f0 65 00 00     mov r5,-1048576
12289 + *[0-9a-f]*:   ee 74 ff ff     mov r4,1048575
12290 + *[0-9a-f]*:   30 1e           mov lr,1
12291 + *[0-9a-f]*:   fa 75 29 a3     mov r5,-317021
12292 + *[0-9a-f]*:   f4 6d 91 94     mov sp,-749164
12293 + *[0-9a-f]*:   ee 65 58 93     mov r5,940179
12294 +
12295 +[0-9a-f]* <brc2>:
12296 + *[0-9a-f]*:   c0 00           breq [0-9a-f]* <.*>
12297 + *[0-9a-f]*:   fe 9f ff ff     bral [0-9a-f]* <.*>
12298 + *[0-9a-f]*:   f0 88 00 00     brls [0-9a-f]* <.*>
12299 + *[0-9a-f]*:   ee 97 ff ff     brpl [0-9a-f]* <.*>
12300 + *[0-9a-f]*:   c0 11           brne [0-9a-f]* <.*>
12301 + *[0-9a-f]*:   f2 8b 4a 4d     brhi [0-9a-f]* <.*>
12302 + *[0-9a-f]*:   ea 8e 14 cc     brqs [0-9a-f]* <.*>
12303 + *[0-9a-f]*:   fa 98 98 33     brls [0-9a-f]* <.*>
12304 +
12305 +[0-9a-f]* <rcall2>:
12306 + *[0-9a-f]*:   c0 0c           rcall [0-9a-f]* <.*>
12307 + *[0-9a-f]*:   cf ff           rcall [0-9a-f]* <.*>
12308 + *[0-9a-f]*:   f0 a0 00 00     rcall [0-9a-f]* <.*>
12309 + *[0-9a-f]*:   ee b0 ff ff     rcall [0-9a-f]* <.*>
12310 + *[0-9a-f]*:   c0 1c           rcall [0-9a-f]* <.*>
12311 + *[0-9a-f]*:   e2 b0 ca 5a     rcall [0-9a-f]* <.*>
12312 + *[0-9a-f]*:   e8 a0 47 52     rcall [0-9a-f]* <.*>
12313 + *[0-9a-f]*:   fe b0 fd ef     rcall [0-9a-f]* <.*>
12314 +
12315 +[0-9a-f]* <sub5>:
12316 + *[0-9a-f]*:   fe cf 00 00     sub pc,pc,0
12317 + *[0-9a-f]*:   f8 cc ff ff     sub r12,r12,-1
12318 + *[0-9a-f]*:   ea c5 80 00     sub r5,r5,-32768
12319 + *[0-9a-f]*:   e8 c4 7f ff     sub r4,r4,32767
12320 + *[0-9a-f]*:   fc ce 00 01     sub lr,lr,1
12321 + *[0-9a-f]*:   fe cf ce 38     sub pc,pc,-12744
12322 + *[0-9a-f]*:   ee c7 95 1b     sub r7,r7,-27365
12323 + *[0-9a-f]*:   f2 c2 bc 32     sub r2,r9,-17358
12324 +
12325 +[0-9a-f]* <satsub_w2>:
12326 + *[0-9a-f]*:   fe df 00 00     satsub\.w pc,pc,0
12327 + *[0-9a-f]*:   f8 dc ff ff     satsub\.w r12,r12,-1
12328 + *[0-9a-f]*:   ea d5 80 00     satsub\.w r5,r5,-32768
12329 + *[0-9a-f]*:   e8 d4 7f ff     satsub\.w r4,r4,32767
12330 + *[0-9a-f]*:   fc de 00 01     satsub\.w lr,lr,1
12331 + *[0-9a-f]*:   fc d2 f8 29     satsub\.w r2,lr,-2007
12332 + *[0-9a-f]*:   f8 d7 fc f0     satsub\.w r7,r12,-784
12333 + *[0-9a-f]*:   ee d4 5a 8c     satsub\.w r4,r7,23180
12334 +
12335 +[0-9a-f]* <ld_d4>:
12336 + *[0-9a-f]*:   fe e0 00 00     ld\.d r0,pc\[0\]
12337 + *[0-9a-f]*:   f8 ee ff ff     ld\.d lr,r12\[-1\]
12338 + *[0-9a-f]*:   ea e8 80 00     ld\.d r8,r5\[-32768\]
12339 + *[0-9a-f]*:   e8 e6 7f ff     ld\.d r6,r4\[32767\]
12340 + *[0-9a-f]*:   fc e2 00 01     ld\.d r2,lr\[1\]
12341 + *[0-9a-f]*:   f6 ee 39 c0     ld\.d lr,r11\[14784\]
12342 + *[0-9a-f]*:   f2 e6 b6 27     ld\.d r6,r9\[-18905\]
12343 + *[0-9a-f]*:   e6 e2 e7 2d     ld\.d r2,r3\[-6355\]
12344 +
12345 +[0-9a-f]* <ld_w4>:
12346 + *[0-9a-f]*:   7e 0f           ld\.w pc,pc\[0x0\]
12347 + *[0-9a-f]*:   f8 fc ff ff     ld\.w r12,r12\[-1\]
12348 + *[0-9a-f]*:   ea f5 80 00     ld\.w r5,r5\[-32768\]
12349 + *[0-9a-f]*:   e8 f4 7f ff     ld\.w r4,r4\[32767\]
12350 + *[0-9a-f]*:   fc fe 00 01     ld\.w lr,lr\[1\]
12351 + *[0-9a-f]*:   f8 f0 a9 8b     ld\.w r0,r12\[-22133\]
12352 + *[0-9a-f]*:   fe fd af d7     ld\.w sp,pc\[-20521\]
12353 + *[0-9a-f]*:   d7 03           nop
12354 +
12355 +[0-9a-f]* <ld_sh4>:
12356 + *[0-9a-f]*:   9e 0f           ld\.sh pc,pc\[0x0\]
12357 + *[0-9a-f]*:   f9 0c ff ff     ld\.sh r12,r12\[-1\]
12358 + *[0-9a-f]*:   eb 05 80 00     ld\.sh r5,r5\[-32768\]
12359 + *[0-9a-f]*:   e9 04 7f ff     ld\.sh r4,r4\[32767\]
12360 + *[0-9a-f]*:   fd 0e 00 01     ld\.sh lr,lr\[1\]
12361 + *[0-9a-f]*:   f5 06 78 d2     ld\.sh r6,r10\[30930\]
12362 + *[0-9a-f]*:   f5 06 55 d5     ld\.sh r6,r10\[21973\]
12363 + *[0-9a-f]*:   d7 03           nop
12364 +
12365 +[0-9a-f]* <ld_uh4>:
12366 + *[0-9a-f]*:   9e 8f           ld\.uh pc,pc\[0x0\]
12367 + *[0-9a-f]*:   f9 1c ff ff     ld\.uh r12,r12\[-1\]
12368 + *[0-9a-f]*:   eb 15 80 00     ld\.uh r5,r5\[-32768\]
12369 + *[0-9a-f]*:   e9 14 7f ff     ld\.uh r4,r4\[32767\]
12370 + *[0-9a-f]*:   fd 1e 00 01     ld\.uh lr,lr\[1\]
12371 + *[0-9a-f]*:   f3 11 cb d6     ld\.uh r1,r9\[-13354\]
12372 + *[0-9a-f]*:   f7 1e 53 59     ld\.uh lr,r11\[21337\]
12373 + *[0-9a-f]*:   d7 03           nop
12374 +
12375 +[0-9a-f]* <ld_sb1>:
12376 + *[0-9a-f]*:   ff 2f 00 00     ld\.sb pc,pc\[0\]
12377 + *[0-9a-f]*:   f9 2c ff ff     ld\.sb r12,r12\[-1\]
12378 + *[0-9a-f]*:   eb 25 80 00     ld\.sb r5,r5\[-32768\]
12379 + *[0-9a-f]*:   e9 24 7f ff     ld\.sb r4,r4\[32767\]
12380 + *[0-9a-f]*:   fd 2e 00 01     ld\.sb lr,lr\[1\]
12381 + *[0-9a-f]*:   fb 27 90 09     ld\.sb r7,sp\[-28663\]
12382 + *[0-9a-f]*:   e3 22 e9 09     ld\.sb r2,r1\[-5879\]
12383 + *[0-9a-f]*:   e7 2c 49 2e     ld\.sb r12,r3\[18734\]
12384 +
12385 +[0-9a-f]* <ld_ub4>:
12386 + *[0-9a-f]*:   1f 8f           ld\.ub pc,pc\[0x0\]
12387 + *[0-9a-f]*:   f9 3c ff ff     ld\.ub r12,r12\[-1\]
12388 + *[0-9a-f]*:   eb 35 80 00     ld\.ub r5,r5\[-32768\]
12389 + *[0-9a-f]*:   e9 34 7f ff     ld\.ub r4,r4\[32767\]
12390 + *[0-9a-f]*:   1d 9e           ld\.ub lr,lr\[0x1\]
12391 + *[0-9a-f]*:   e9 3f 20 55     ld\.ub pc,r4\[8277\]
12392 + *[0-9a-f]*:   f9 35 4a e4     ld\.ub r5,r12\[19172\]
12393 + *[0-9a-f]*:   fd 3a 66 eb     ld\.ub r10,lr\[26347\]
12394 +
12395 +[0-9a-f]* <st_d4>:
12396 + *[0-9a-f]*:   fe e1 00 00     st\.d pc\[0\],r0
12397 + *[0-9a-f]*:   f8 ef ff ff     st\.d r12\[-1\],lr
12398 + *[0-9a-f]*:   ea e9 80 00     st\.d r5\[-32768\],r8
12399 + *[0-9a-f]*:   e8 e7 7f ff     st\.d r4\[32767\],r6
12400 + *[0-9a-f]*:   fc e3 00 01     st\.d lr\[1\],r2
12401 + *[0-9a-f]*:   ea eb 33 90     st\.d r5\[13200\],r10
12402 + *[0-9a-f]*:   ea eb 24 88     st\.d r5\[9352\],r10
12403 + *[0-9a-f]*:   ea e5 7e 75     st\.d r5\[32373\],r4
12404 +
12405 +[0-9a-f]* <st_w4>:
12406 + *[0-9a-f]*:   9f 0f           st\.w pc\[0x0\],pc
12407 + *[0-9a-f]*:   f9 4c ff ff     st\.w r12\[-1\],r12
12408 + *[0-9a-f]*:   eb 45 80 00     st\.w r5\[-32768\],r5
12409 + *[0-9a-f]*:   e9 44 7f ff     st\.w r4\[32767\],r4
12410 + *[0-9a-f]*:   fd 4e 00 01     st\.w lr\[1\],lr
12411 + *[0-9a-f]*:   fb 47 17 f8     st\.w sp\[6136\],r7
12412 + *[0-9a-f]*:   ed 4c 69 cf     st\.w r6\[27087\],r12
12413 + *[0-9a-f]*:   d7 03           nop
12414 +
12415 +[0-9a-f]* <st_h4>:
12416 + *[0-9a-f]*:   be 0f           st\.h pc\[0x0\],pc
12417 + *[0-9a-f]*:   f9 5c ff ff     st\.h r12\[-1\],r12
12418 + *[0-9a-f]*:   eb 55 80 00     st\.h r5\[-32768\],r5
12419 + *[0-9a-f]*:   e9 54 7f ff     st\.h r4\[32767\],r4
12420 + *[0-9a-f]*:   fd 5e 00 01     st\.h lr\[1\],lr
12421 + *[0-9a-f]*:   e9 57 d9 16     st\.h r4\[-9962\],r7
12422 + *[0-9a-f]*:   f3 53 c0 86     st\.h r9\[-16250\],r3
12423 + *[0-9a-f]*:   d7 03           nop
12424 +
12425 +[0-9a-f]* <st_b4>:
12426 + *[0-9a-f]*:   be 8f           st\.b pc\[0x0\],pc
12427 + *[0-9a-f]*:   f9 6c ff ff     st\.b r12\[-1\],r12
12428 + *[0-9a-f]*:   eb 65 80 00     st\.b r5\[-32768\],r5
12429 + *[0-9a-f]*:   e9 64 7f ff     st\.b r4\[32767\],r4
12430 + *[0-9a-f]*:   bc 9e           st\.b lr\[0x1\],lr
12431 + *[0-9a-f]*:   f9 66 75 96     st\.b r12\[30102\],r6
12432 + *[0-9a-f]*:   eb 61 71 31     st\.b r5\[28977\],r1
12433 + *[0-9a-f]*:   e1 61 15 5e     st\.b r0\[5470\],r1
12434 +
12435 +[0-9a-f]* <mfsr>:
12436 + *[0-9a-f]*:   e1 bf 00 00     mfsr pc,0x0
12437 + *[0-9a-f]*:   e1 bc 00 ff     mfsr r12,0x3fc
12438 + *[0-9a-f]*:   e1 b5 00 80     mfsr r5,0x200
12439 + *[0-9a-f]*:   e1 b4 00 7f     mfsr r4,0x1fc
12440 + *[0-9a-f]*:   e1 be 00 01     mfsr lr,0x4
12441 + *[0-9a-f]*:   e1 b2 00 ae     mfsr r2,0x2b8
12442 + *[0-9a-f]*:   e1 b4 00 41     mfsr r4,0x104
12443 + *[0-9a-f]*:   e1 ba 00 fe     mfsr r10,0x3f8
12444 +
12445 +[0-9a-f]* <mtsr>:
12446 + *[0-9a-f]*:   e3 bf 00 00     mtsr 0x0,pc
12447 + *[0-9a-f]*:   e3 bc 00 ff     mtsr 0x3fc,r12
12448 + *[0-9a-f]*:   e3 b5 00 80     mtsr 0x200,r5
12449 + *[0-9a-f]*:   e3 b4 00 7f     mtsr 0x1fc,r4
12450 + *[0-9a-f]*:   e3 be 00 01     mtsr 0x4,lr
12451 + *[0-9a-f]*:   e3 ba 00 38     mtsr 0xe0,r10
12452 + *[0-9a-f]*:   e3 bc 00 d1     mtsr 0x344,r12
12453 + *[0-9a-f]*:   e3 b9 00 4c     mtsr 0x130,r9
12454 +
12455 +[0-9a-f]* <mfdr>:
12456 + *[0-9a-f]*:   e5 bf 00 00     mfdr pc,0x0
12457 + *[0-9a-f]*:   e5 bc 00 ff     mfdr r12,0x3fc
12458 + *[0-9a-f]*:   e5 b5 00 80     mfdr r5,0x200
12459 + *[0-9a-f]*:   e5 b4 00 7f     mfdr r4,0x1fc
12460 + *[0-9a-f]*:   e5 be 00 01     mfdr lr,0x4
12461 + *[0-9a-f]*:   e5 b6 00 e9     mfdr r6,0x3a4
12462 + *[0-9a-f]*:   e5 b5 00 09     mfdr r5,0x24
12463 + *[0-9a-f]*:   e5 b9 00 4b     mfdr r9,0x12c
12464 +
12465 +[0-9a-f]* <mtdr>:
12466 + *[0-9a-f]*:   e7 bf 00 00     mtdr 0x0,pc
12467 + *[0-9a-f]*:   e7 bc 00 ff     mtdr 0x3fc,r12
12468 + *[0-9a-f]*:   e7 b5 00 80     mtdr 0x200,r5
12469 + *[0-9a-f]*:   e7 b4 00 7f     mtdr 0x1fc,r4
12470 + *[0-9a-f]*:   e7 be 00 01     mtdr 0x4,lr
12471 + *[0-9a-f]*:   e7 b8 00 2d     mtdr 0xb4,r8
12472 + *[0-9a-f]*:   e7 ba 00 b4     mtdr 0x2d0,r10
12473 + *[0-9a-f]*:   e7 be 00 66     mtdr 0x198,lr
12474 +
12475 +[0-9a-f]* <sleep>:
12476 + *[0-9a-f]*:   e9 b0 00 00     sleep 0x0
12477 + *[0-9a-f]*:   e9 b0 00 ff     sleep 0xff
12478 + *[0-9a-f]*:   e9 b0 00 80     sleep 0x80
12479 + *[0-9a-f]*:   e9 b0 00 7f     sleep 0x7f
12480 + *[0-9a-f]*:   e9 b0 00 01     sleep 0x1
12481 + *[0-9a-f]*:   e9 b0 00 fe     sleep 0xfe
12482 + *[0-9a-f]*:   e9 b0 00 0f     sleep 0xf
12483 + *[0-9a-f]*:   e9 b0 00 2b     sleep 0x2b
12484 +
12485 +[0-9a-f]* <sync>:
12486 + *[0-9a-f]*:   eb b0 00 00     sync 0x0
12487 + *[0-9a-f]*:   eb b0 00 ff     sync 0xff
12488 + *[0-9a-f]*:   eb b0 00 80     sync 0x80
12489 + *[0-9a-f]*:   eb b0 00 7f     sync 0x7f
12490 + *[0-9a-f]*:   eb b0 00 01     sync 0x1
12491 + *[0-9a-f]*:   eb b0 00 a6     sync 0xa6
12492 + *[0-9a-f]*:   eb b0 00 e6     sync 0xe6
12493 + *[0-9a-f]*:   eb b0 00 b4     sync 0xb4
12494 +
12495 +[0-9a-f]* <bld>:
12496 + *[0-9a-f]*:   ed bf 00 00     bld pc,0x0
12497 + *[0-9a-f]*:   ed bc 00 1f     bld r12,0x1f
12498 + *[0-9a-f]*:   ed b5 00 10     bld r5,0x10
12499 + *[0-9a-f]*:   ed b4 00 0f     bld r4,0xf
12500 + *[0-9a-f]*:   ed be 00 01     bld lr,0x1
12501 + *[0-9a-f]*:   ed b9 00 0f     bld r9,0xf
12502 + *[0-9a-f]*:   ed b0 00 04     bld r0,0x4
12503 + *[0-9a-f]*:   ed be 00 1a     bld lr,0x1a
12504 +
12505 +[0-9a-f]* <bst>:
12506 + *[0-9a-f]*:   ef bf 00 00     bst pc,0x0
12507 + *[0-9a-f]*:   ef bc 00 1f     bst r12,0x1f
12508 + *[0-9a-f]*:   ef b5 00 10     bst r5,0x10
12509 + *[0-9a-f]*:   ef b4 00 0f     bst r4,0xf
12510 + *[0-9a-f]*:   ef be 00 01     bst lr,0x1
12511 + *[0-9a-f]*:   ef ba 00 1c     bst r10,0x1c
12512 + *[0-9a-f]*:   ef b0 00 03     bst r0,0x3
12513 + *[0-9a-f]*:   ef bd 00 02     bst sp,0x2
12514 +
12515 +[0-9a-f]* <sats>:
12516 + *[0-9a-f]*:   f1 bf 00 00     sats pc,0x0
12517 + *[0-9a-f]*:   f1 bc 03 ff     sats r12>>0x1f,0x1f
12518 + *[0-9a-f]*:   f1 b5 02 10     sats r5>>0x10,0x10
12519 + *[0-9a-f]*:   f1 b4 01 ef     sats r4>>0xf,0xf
12520 + *[0-9a-f]*:   f1 be 00 21     sats lr>>0x1,0x1
12521 + *[0-9a-f]*:   f1 ba 02 63     sats r10>>0x3,0x13
12522 + *[0-9a-f]*:   f1 ba 03 42     sats r10>>0x2,0x1a
12523 + *[0-9a-f]*:   f1 b1 00 34     sats r1>>0x14,0x1
12524 +
12525 +[0-9a-f]* <satu>:
12526 + *[0-9a-f]*:   f1 bf 04 00     satu pc,0x0
12527 + *[0-9a-f]*:   f1 bc 07 ff     satu r12>>0x1f,0x1f
12528 + *[0-9a-f]*:   f1 b5 06 10     satu r5>>0x10,0x10
12529 + *[0-9a-f]*:   f1 b4 05 ef     satu r4>>0xf,0xf
12530 + *[0-9a-f]*:   f1 be 04 21     satu lr>>0x1,0x1
12531 + *[0-9a-f]*:   f1 bf 04 e5     satu pc>>0x5,0x7
12532 + *[0-9a-f]*:   f1 b7 04 a5     satu r7>>0x5,0x5
12533 + *[0-9a-f]*:   f1 b2 06 7a     satu r2>>0x1a,0x13
12534 +
12535 +[0-9a-f]* <satrnds>:
12536 + *[0-9a-f]*:   f3 bf 00 00     satrnds pc,0x0
12537 + *[0-9a-f]*:   f3 bc 03 ff     satrnds r12>>0x1f,0x1f
12538 + *[0-9a-f]*:   f3 b5 02 10     satrnds r5>>0x10,0x10
12539 + *[0-9a-f]*:   f3 b4 01 ef     satrnds r4>>0xf,0xf
12540 + *[0-9a-f]*:   f3 be 00 21     satrnds lr>>0x1,0x1
12541 + *[0-9a-f]*:   f3 b0 02 75     satrnds r0>>0x15,0x13
12542 + *[0-9a-f]*:   f3 bd 00 40     satrnds sp,0x2
12543 + *[0-9a-f]*:   f3 b7 03 a6     satrnds r7>>0x6,0x1d
12544 +
12545 +[0-9a-f]* <satrndu>:
12546 + *[0-9a-f]*:   f3 bf 04 00     satrndu pc,0x0
12547 + *[0-9a-f]*:   f3 bc 07 ff     satrndu r12>>0x1f,0x1f
12548 + *[0-9a-f]*:   f3 b5 06 10     satrndu r5>>0x10,0x10
12549 + *[0-9a-f]*:   f3 b4 05 ef     satrndu r4>>0xf,0xf
12550 + *[0-9a-f]*:   f3 be 04 21     satrndu lr>>0x1,0x1
12551 + *[0-9a-f]*:   f3 bc 07 40     satrndu r12,0x1a
12552 + *[0-9a-f]*:   f3 b4 04 75     satrndu r4>>0x15,0x3
12553 + *[0-9a-f]*:   f3 ba 06 03     satrndu r10>>0x3,0x10
12554 +
12555 +[0-9a-f]* <subfc>:
12556 + *[0-9a-f]*:   f5 bf 00 00     subfeq pc,0
12557 + *[0-9a-f]*:   f5 bc 0f ff     subfal r12,-1
12558 + *[0-9a-f]*:   f5 b5 08 80     subfls r5,-128
12559 + *[0-9a-f]*:   f5 b4 07 7f     subfpl r4,127
12560 + *[0-9a-f]*:   f5 be 01 01     subfne lr,1
12561 + *[0-9a-f]*:   f5 ba 08 08     subfls r10,8
12562 + *[0-9a-f]*:   f5 bb 0d 63     subfvc r11,99
12563 + *[0-9a-f]*:   f5 b2 0c 49     subfvs r2,73
12564 +
12565 +[0-9a-f]* <subc>:
12566 + *[0-9a-f]*:   f7 bf 00 00     subeq pc,0
12567 + *[0-9a-f]*:   f7 bc 0f ff     subal r12,-1
12568 + *[0-9a-f]*:   f7 b5 08 80     subls r5,-128
12569 + *[0-9a-f]*:   f7 b4 07 7f     subpl r4,127
12570 + *[0-9a-f]*:   f7 be 01 01     subne lr,1
12571 + *[0-9a-f]*:   f7 bc 08 76     subls r12,118
12572 + *[0-9a-f]*:   f7 be 0d f4     subvc lr,-12
12573 + *[0-9a-f]*:   f7 b4 06 f3     submi r4,-13
12574 +
12575 +[0-9a-f]* <movc2>:
12576 + *[0-9a-f]*:   f9 bf 00 00     moveq pc,0
12577 + *[0-9a-f]*:   f9 bc 0f ff     moval r12,-1
12578 + *[0-9a-f]*:   f9 b5 08 80     movls r5,-128
12579 + *[0-9a-f]*:   f9 b4 07 7f     movpl r4,127
12580 + *[0-9a-f]*:   f9 be 01 01     movne lr,1
12581 + *[0-9a-f]*:   f9 b3 05 86     movlt r3,-122
12582 + *[0-9a-f]*:   f9 b8 0d 02     movvc r8,2
12583 + *[0-9a-f]*:   f9 b7 01 91     movne r7,-111
12584 +
12585 +[0-9a-f]* <cp_b>:
12586 + *[0-9a-f]*:   e0 0f 18 00     cp\.b pc,r0
12587 + *[0-9a-f]*:   fe 00 18 00     cp\.b r0,pc
12588 + *[0-9a-f]*:   f0 07 18 00     cp\.b r7,r8
12589 + *[0-9a-f]*:   ee 08 18 00     cp\.b r8,r7
12590 +
12591 +[0-9a-f]* <cp_h>:
12592 + *[0-9a-f]*:   e0 0f 19 00     cp\.h pc,r0
12593 + *[0-9a-f]*:   fe 00 19 00     cp\.h r0,pc
12594 + *[0-9a-f]*:   f0 07 19 00     cp\.h r7,r8
12595 + *[0-9a-f]*:   ee 08 19 00     cp\.h r8,r7
12596 +
12597 +[0-9a-f]* <ldm>:
12598 + *[0-9a-f]*:   e1 cf 00 7e     ldm pc,r1-r6
12599 + *[0-9a-f]*:   e1 cc ff ff     ldm r12,r0-pc
12600 + *[0-9a-f]*:   e1 c5 80 00     ldm r5,pc
12601 + *[0-9a-f]*:   e1 c4 7f ff     ldm r4,r0-lr
12602 + *[0-9a-f]*:   e1 ce 00 01     ldm lr,r0
12603 + *[0-9a-f]*:   e1 c9 40 22     ldm r9,r1,r5,lr
12604 + *[0-9a-f]*:   e1 cb 81 ec     ldm r11,r2-r3,r5-r8,pc
12605 + *[0-9a-f]*:   e1 c6 a2 09     ldm r6,r0,r3,r9,sp,pc
12606 +
12607 +[0-9a-f]* <ldm_pu>:
12608 + *[0-9a-f]*:   e3 cf 03 c0     ldm pc\+\+,r6-r9
12609 + *[0-9a-f]*:   e3 cc ff ff     ldm r12\+\+,r0-pc
12610 + *[0-9a-f]*:   e3 c5 80 00     ldm r5\+\+,pc
12611 + *[0-9a-f]*:   e3 c4 7f ff     ldm r4\+\+,r0-lr
12612 + *[0-9a-f]*:   e3 ce 00 01     ldm lr\+\+,r0
12613 + *[0-9a-f]*:   e3 cc d5 38     ldm r12\+\+,r3-r5,r8,r10,r12,lr-pc
12614 + *[0-9a-f]*:   e3 ca c0 74     ldm r10\+\+,r2,r4-r6,lr-pc
12615 + *[0-9a-f]*:   e3 c6 7e 1a     ldm r6\+\+,r1,r3-r4,r9-lr
12616 +
12617 +[0-9a-f]* <ldmts>:
12618 + *[0-9a-f]*:   e5 cf 01 80     ldmts pc,r7-r8
12619 + *[0-9a-f]*:   e5 cc ff ff     ldmts r12,r0-pc
12620 + *[0-9a-f]*:   e5 c5 80 00     ldmts r5,pc
12621 + *[0-9a-f]*:   e5 c4 7f ff     ldmts r4,r0-lr
12622 + *[0-9a-f]*:   e5 ce 00 01     ldmts lr,r0
12623 + *[0-9a-f]*:   e5 c0 18 06     ldmts r0,r1-r2,r11-r12
12624 + *[0-9a-f]*:   e5 ce 61 97     ldmts lr,r0-r2,r4,r7-r8,sp-lr
12625 + *[0-9a-f]*:   e5 cc c2 3b     ldmts r12,r0-r1,r3-r5,r9,lr-pc
12626 +
12627 +[0-9a-f]* <ldmts_pu>:
12628 + *[0-9a-f]*:   e7 cf 02 00     ldmts pc\+\+,r9
12629 + *[0-9a-f]*:   e7 cc ff ff     ldmts r12\+\+,r0-pc
12630 + *[0-9a-f]*:   e7 c5 80 00     ldmts r5\+\+,pc
12631 + *[0-9a-f]*:   e7 c4 7f ff     ldmts r4\+\+,r0-lr
12632 + *[0-9a-f]*:   e7 ce 00 01     ldmts lr\+\+,r0
12633 + *[0-9a-f]*:   e7 cd 0a bd     ldmts sp\+\+,r0,r2-r5,r7,r9,r11
12634 + *[0-9a-f]*:   e7 c5 0c 8e     ldmts r5\+\+,r1-r3,r7,r10-r11
12635 + *[0-9a-f]*:   e7 c8 a1 9c     ldmts r8\+\+,r2-r4,r7-r8,sp,pc
12636 +
12637 +[0-9a-f]* <stm>:
12638 + *[0-9a-f]*:   e9 cf 00 80     stm pc,r7
12639 + *[0-9a-f]*:   e9 cc ff ff     stm r12,r0-pc
12640 + *[0-9a-f]*:   e9 c5 80 00     stm r5,pc
12641 + *[0-9a-f]*:   e9 c4 7f ff     stm r4,r0-lr
12642 + *[0-9a-f]*:   e9 ce 00 01     stm lr,r0
12643 + *[0-9a-f]*:   e9 cd 49 2c     stm sp,r2-r3,r5,r8,r11,lr
12644 + *[0-9a-f]*:   e9 c4 4c 5f     stm r4,r0-r4,r6,r10-r11,lr
12645 + *[0-9a-f]*:   e9 c9 f2 22     stm r9,r1,r5,r9,r12-pc
12646 +
12647 +[0-9a-f]* <stm_pu>:
12648 + *[0-9a-f]*:   eb cf 00 70     stm --pc,r4-r6
12649 + *[0-9a-f]*:   eb cc ff ff     stm --r12,r0-pc
12650 + *[0-9a-f]*:   eb c5 80 00     stm --r5,pc
12651 + *[0-9a-f]*:   eb c4 7f ff     stm --r4,r0-lr
12652 + *[0-9a-f]*:   eb ce 00 01     stm --lr,r0
12653 + *[0-9a-f]*:   eb cb fb f1     stm --r11,r0,r4-r9,r11-pc
12654 + *[0-9a-f]*:   eb cb 56 09     stm --r11,r0,r3,r9-r10,r12,lr
12655 + *[0-9a-f]*:   eb c6 63 04     stm --r6,r2,r8-r9,sp-lr
12656 +
12657 +[0-9a-f]* <stmts>:
12658 + *[0-9a-f]*:   ed cf 01 00     stmts pc,r8
12659 + *[0-9a-f]*:   ed cc ff ff     stmts r12,r0-pc
12660 + *[0-9a-f]*:   ed c5 80 00     stmts r5,pc
12661 + *[0-9a-f]*:   ed c4 7f ff     stmts r4,r0-lr
12662 + *[0-9a-f]*:   ed ce 00 01     stmts lr,r0
12663 + *[0-9a-f]*:   ed c1 c6 5b     stmts r1,r0-r1,r3-r4,r6,r9-r10,lr-pc
12664 + *[0-9a-f]*:   ed c3 1d c1     stmts r3,r0,r6-r8,r10-r12
12665 + *[0-9a-f]*:   ed cb d6 d1     stmts r11,r0,r4,r6-r7,r9-r10,r12,lr-pc
12666 +
12667 +[0-9a-f]* <stmts_pu>:
12668 + *[0-9a-f]*:   ef cf 01 c0     stmts --pc,r6-r8
12669 + *[0-9a-f]*:   ef cc ff ff     stmts --r12,r0-pc
12670 + *[0-9a-f]*:   ef c5 80 00     stmts --r5,pc
12671 + *[0-9a-f]*:   ef c4 7f ff     stmts --r4,r0-lr
12672 + *[0-9a-f]*:   ef ce 00 01     stmts --lr,r0
12673 + *[0-9a-f]*:   ef c2 36 19     stmts --r2,r0,r3-r4,r9-r10,r12-sp
12674 + *[0-9a-f]*:   ef c3 c0 03     stmts --r3,r0-r1,lr-pc
12675 + *[0-9a-f]*:   ef c0 44 7d     stmts --r0,r0,r2-r6,r10,lr
12676 +
12677 +[0-9a-f]* <ldins_h>:
12678 + *[0-9a-f]*:   ff df 00 00     ldins\.h pc:b,pc\[0\]
12679 + *[0-9a-f]*:   f9 dc 1f ff     ldins\.h r12:t,r12\[-2\]
12680 + *[0-9a-f]*:   eb d5 18 00     ldins\.h r5:t,r5\[-4096\]
12681 + *[0-9a-f]*:   e9 d4 07 ff     ldins\.h r4:b,r4\[4094\]
12682 + *[0-9a-f]*:   fd de 10 01     ldins\.h lr:t,lr\[2\]
12683 + *[0-9a-f]*:   fd d0 13 c5     ldins\.h r0:t,lr\[1930\]
12684 + *[0-9a-f]*:   ef d3 0e f5     ldins\.h r3:b,r7\[-534\]
12685 + *[0-9a-f]*:   f9 d2 0b 9a     ldins\.h r2:b,r12\[-2252\]
12686 +
12687 +[0-9a-f]* <ldins_b>:
12688 + *[0-9a-f]*:   ff df 40 00     ldins\.b pc:b,pc\[0\]
12689 + *[0-9a-f]*:   f9 dc 7f ff     ldins\.b r12:t,r12\[-1\]
12690 + *[0-9a-f]*:   eb d5 68 00     ldins\.b r5:u,r5\[-2048\]
12691 + *[0-9a-f]*:   e9 d4 57 ff     ldins\.b r4:l,r4\[2047\]
12692 + *[0-9a-f]*:   fd de 50 01     ldins\.b lr:l,lr\[1\]
12693 + *[0-9a-f]*:   e9 d6 7d 6a     ldins\.b r6:t,r4\[-662\]
12694 + *[0-9a-f]*:   e3 d5 4f 69     ldins\.b r5:b,r1\[-151\]
12695 + *[0-9a-f]*:   f7 da 78 7d     ldins\.b r10:t,r11\[-1923\]
12696 +
12697 +[0-9a-f]* <ldswp_sh>:
12698 + *[0-9a-f]*:   ff df 20 00     ldswp\.sh pc,pc\[0\]
12699 + *[0-9a-f]*:   f9 dc 2f ff     ldswp\.sh r12,r12\[-2\]
12700 + *[0-9a-f]*:   eb d5 28 00     ldswp\.sh r5,r5\[-4096\]
12701 + *[0-9a-f]*:   e9 d4 27 ff     ldswp\.sh r4,r4\[4094\]
12702 + *[0-9a-f]*:   fd de 20 01     ldswp\.sh lr,lr\[2\]
12703 + *[0-9a-f]*:   f5 d9 27 84     ldswp\.sh r9,r10\[3848\]
12704 + *[0-9a-f]*:   f9 d4 2c 04     ldswp\.sh r4,r12\[-2040\]
12705 + *[0-9a-f]*:   e5 da 26 08     ldswp\.sh r10,r2\[3088\]
12706 +
12707 +[0-9a-f]* <ldswp_uh>:
12708 + *[0-9a-f]*:   ff df 30 00     ldswp\.uh pc,pc\[0\]
12709 + *[0-9a-f]*:   f9 dc 3f ff     ldswp\.uh r12,r12\[-2\]
12710 + *[0-9a-f]*:   eb d5 38 00     ldswp\.uh r5,r5\[-4096\]
12711 + *[0-9a-f]*:   e9 d4 37 ff     ldswp\.uh r4,r4\[4094\]
12712 + *[0-9a-f]*:   fd de 30 01     ldswp\.uh lr,lr\[2\]
12713 + *[0-9a-f]*:   f3 d4 37 46     ldswp\.uh r4,r9\[3724\]
12714 + *[0-9a-f]*:   fb de 3c bc     ldswp\.uh lr,sp\[-1672\]
12715 + *[0-9a-f]*:   f9 d8 38 7d     ldswp\.uh r8,r12\[-3846\]
12716 +
12717 +[0-9a-f]* <ldswp_w>:
12718 + *[0-9a-f]*:   ff df 80 00     ldswp\.w pc,pc\[0\]
12719 + *[0-9a-f]*:   f9 dc 8f ff     ldswp\.w r12,r12\[-4\]
12720 + *[0-9a-f]*:   eb d5 88 00     ldswp\.w r5,r5\[-8192\]
12721 + *[0-9a-f]*:   e9 d4 87 ff     ldswp\.w r4,r4\[8188\]
12722 + *[0-9a-f]*:   fd de 80 01     ldswp\.w lr,lr\[4\]
12723 + *[0-9a-f]*:   ef dd 81 d1     ldswp\.w sp,r7\[1860\]
12724 + *[0-9a-f]*:   eb df 8c c1     ldswp\.w pc,r5\[-3324\]
12725 + *[0-9a-f]*:   f5 dc 8c c8     ldswp\.w r12,r10\[-3296\]
12726 +
12727 +[0-9a-f]* <stswp_h>:
12728 + *[0-9a-f]*:   ff df 90 00     stswp\.h pc\[0\],pc
12729 + *[0-9a-f]*:   f9 dc 9f ff     stswp\.h r12\[-2\],r12
12730 + *[0-9a-f]*:   eb d5 98 00     stswp\.h r5\[-4096\],r5
12731 + *[0-9a-f]*:   e9 d4 97 ff     stswp\.h r4\[4094\],r4
12732 + *[0-9a-f]*:   fd de 90 01     stswp\.h lr\[2\],lr
12733 + *[0-9a-f]*:   ef da 90 20     stswp\.h r7\[64\],r10
12734 + *[0-9a-f]*:   f5 d2 95 e8     stswp\.h r10\[3024\],r2
12735 + *[0-9a-f]*:   e1 da 9b 74     stswp\.h r0\[-2328\],r10
12736 +
12737 +[0-9a-f]* <stswp_w>:
12738 + *[0-9a-f]*:   ff df a0 00     stswp\.w pc\[0\],pc
12739 + *[0-9a-f]*:   f9 dc af ff     stswp\.w r12\[-4\],r12
12740 + *[0-9a-f]*:   eb d5 a8 00     stswp\.w r5\[-8192\],r5
12741 + *[0-9a-f]*:   e9 d4 a7 ff     stswp\.w r4\[8188\],r4
12742 + *[0-9a-f]*:   fd de a0 01     stswp\.w lr\[4\],lr
12743 + *[0-9a-f]*:   ff d8 a1 21     stswp\.w pc\[1156\],r8
12744 + *[0-9a-f]*:   fb da a7 ce     stswp\.w sp\[7992\],r10
12745 + *[0-9a-f]*:   f1 d5 ae db     stswp\.w r8\[-1172\],r5
12746 +
12747 +[0-9a-f]* <and2>:
12748 + *[0-9a-f]*:   ff ef 00 0f     and pc,pc,pc
12749 + *[0-9a-f]*:   f9 ec 01 fc     and r12,r12,r12<<0x1f
12750 + *[0-9a-f]*:   eb e5 01 05     and r5,r5,r5<<0x10
12751 + *[0-9a-f]*:   e9 e4 00 f4     and r4,r4,r4<<0xf
12752 + *[0-9a-f]*:   fd ee 00 1e     and lr,lr,lr<<0x1
12753 + *[0-9a-f]*:   e5 e1 00 1a     and r10,r2,r1<<0x1
12754 + *[0-9a-f]*:   f1 eb 01 bc     and r12,r8,r11<<0x1b
12755 + *[0-9a-f]*:   ef e0 00 3a     and r10,r7,r0<<0x3
12756 +
12757 +[0-9a-f]* <and3>:
12758 + *[0-9a-f]*:   ff ef 02 0f     and pc,pc,pc
12759 + *[0-9a-f]*:   f9 ec 03 fc     and r12,r12,r12>>0x1f
12760 + *[0-9a-f]*:   eb e5 03 05     and r5,r5,r5>>0x10
12761 + *[0-9a-f]*:   e9 e4 02 f4     and r4,r4,r4>>0xf
12762 + *[0-9a-f]*:   fd ee 02 1e     and lr,lr,lr>>0x1
12763 + *[0-9a-f]*:   f1 e7 03 1c     and r12,r8,r7>>0x11
12764 + *[0-9a-f]*:   e9 e9 03 4f     and pc,r4,r9>>0x14
12765 + *[0-9a-f]*:   f3 ea 02 ca     and r10,r9,r10>>0xc
12766 +
12767 +[0-9a-f]* <or2>:
12768 + *[0-9a-f]*:   ff ef 10 0f     or pc,pc,pc
12769 + *[0-9a-f]*:   f9 ec 11 fc     or r12,r12,r12<<0x1f
12770 + *[0-9a-f]*:   eb e5 11 05     or r5,r5,r5<<0x10
12771 + *[0-9a-f]*:   e9 e4 10 f4     or r4,r4,r4<<0xf
12772 + *[0-9a-f]*:   fd ee 10 1e     or lr,lr,lr<<0x1
12773 + *[0-9a-f]*:   fb eb 11 d8     or r8,sp,r11<<0x1d
12774 + *[0-9a-f]*:   f3 e2 11 cf     or pc,r9,r2<<0x1c
12775 + *[0-9a-f]*:   e3 e2 10 35     or r5,r1,r2<<0x3
12776 +
12777 +[0-9a-f]* <or3>:
12778 + *[0-9a-f]*:   ff ef 12 0f     or pc,pc,pc
12779 + *[0-9a-f]*:   f9 ec 13 fc     or r12,r12,r12>>0x1f
12780 + *[0-9a-f]*:   eb e5 13 05     or r5,r5,r5>>0x10
12781 + *[0-9a-f]*:   e9 e4 12 f4     or r4,r4,r4>>0xf
12782 + *[0-9a-f]*:   fd ee 12 1e     or lr,lr,lr>>0x1
12783 + *[0-9a-f]*:   fb ed 12 21     or r1,sp,sp>>0x2
12784 + *[0-9a-f]*:   e3 e1 13 d0     or r0,r1,r1>>0x1d
12785 + *[0-9a-f]*:   f9 e8 12 84     or r4,r12,r8>>0x8
12786 +
12787 +[0-9a-f]* <eor2>:
12788 + *[0-9a-f]*:   ff ef 20 0f     eor pc,pc,pc
12789 + *[0-9a-f]*:   f9 ec 21 fc     eor r12,r12,r12<<0x1f
12790 + *[0-9a-f]*:   eb e5 21 05     eor r5,r5,r5<<0x10
12791 + *[0-9a-f]*:   e9 e4 20 f4     eor r4,r4,r4<<0xf
12792 + *[0-9a-f]*:   fd ee 20 1e     eor lr,lr,lr<<0x1
12793 + *[0-9a-f]*:   f3 e4 20 ba     eor r10,r9,r4<<0xb
12794 + *[0-9a-f]*:   e1 e1 21 f4     eor r4,r0,r1<<0x1f
12795 + *[0-9a-f]*:   e5 ec 20 d6     eor r6,r2,r12<<0xd
12796 +
12797 +[0-9a-f]* <eor3>:
12798 + *[0-9a-f]*:   ff ef 22 0f     eor pc,pc,pc
12799 + *[0-9a-f]*:   f9 ec 23 fc     eor r12,r12,r12>>0x1f
12800 + *[0-9a-f]*:   eb e5 23 05     eor r5,r5,r5>>0x10
12801 + *[0-9a-f]*:   e9 e4 22 f4     eor r4,r4,r4>>0xf
12802 + *[0-9a-f]*:   fd ee 22 1e     eor lr,lr,lr>>0x1
12803 + *[0-9a-f]*:   eb e5 23 65     eor r5,r5,r5>>0x16
12804 + *[0-9a-f]*:   e3 ee 22 3a     eor r10,r1,lr>>0x3
12805 + *[0-9a-f]*:   fd ed 23 a7     eor r7,lr,sp>>0x1a
12806 +
12807 +[0-9a-f]* <sthh_w2>:
12808 + *[0-9a-f]*:   ff ef 8f 0f     sthh\.w pc\[pc\],pc:b,pc:b
12809 + *[0-9a-f]*:   f9 ec bc 3c     sthh\.w r12\[r12<<0x3\],r12:t,r12:t
12810 + *[0-9a-f]*:   eb e5 b5 25     sthh\.w r5\[r5<<0x2\],r5:t,r5:t
12811 + *[0-9a-f]*:   e9 e4 84 14     sthh\.w r4\[r4<<0x1\],r4:b,r4:b
12812 + *[0-9a-f]*:   fd ee be 1e     sthh\.w lr\[lr<<0x1\],lr:t,lr:t
12813 + *[0-9a-f]*:   e3 ec b6 3d     sthh\.w sp\[r6<<0x3\],r1:t,r12:t
12814 + *[0-9a-f]*:   f3 e9 b6 06     sthh\.w r6\[r6\],r9:t,r9:t
12815 + *[0-9a-f]*:   e1 eb 93 0a     sthh\.w r10\[r3\],r0:b,r11:t
12816 +
12817 +[0-9a-f]* <sthh_w1>:
12818 + *[0-9a-f]*:   ff ef c0 0f     sthh\.w pc\[0x0\],pc:b,pc:b
12819 + *[0-9a-f]*:   f9 ec ff fc     sthh\.w r12\[0x3fc\],r12:t,r12:t
12820 + *[0-9a-f]*:   eb e5 f8 05     sthh\.w r5\[0x200\],r5:t,r5:t
12821 + *[0-9a-f]*:   e9 e4 c7 f4     sthh\.w r4\[0x1fc\],r4:b,r4:b
12822 + *[0-9a-f]*:   fd ee f0 1e     sthh\.w lr\[0x4\],lr:t,lr:t
12823 + *[0-9a-f]*:   f3 e0 e6 54     sthh\.w r4\[0x194\],r9:t,r0:b
12824 + *[0-9a-f]*:   e5 ea e5 78     sthh\.w r8\[0x15c\],r2:t,r10:b
12825 + *[0-9a-f]*:   f3 e2 c2 bd     sthh\.w sp\[0xac\],r9:b,r2:b
12826 +
12827 +[0-9a-f]* <cop>:
12828 + *[0-9a-f]*:   e1 a0 00 00     cop cp0,cr0,cr0,cr0,0x0
12829 + *[0-9a-f]*:   e7 af ff ff     cop cp7,cr15,cr15,cr15,0x7f
12830 + *[0-9a-f]*:   e3 a8 75 55     cop cp3,cr5,cr5,cr5,0x31
12831 + *[0-9a-f]*:   e3 a8 44 44     cop cp2,cr4,cr4,cr4,0x30
12832 + *[0-9a-f]*:   e5 ad a8 37     cop cp5,cr8,cr3,cr7,0x5a
12833 +
12834 +[0-9a-f]* <ldc_w1>:
12835 + *[0-9a-f]*:   e9 a0 00 00     ldc\.w cp0,cr0,r0\[0x0\]
12836 + *[0-9a-f]*:   e9 af ef ff     ldc\.w cp7,cr15,pc\[0x3fc\]
12837 + *[0-9a-f]*:   e9 a5 65 80     ldc\.w cp3,cr5,r5\[0x200\]
12838 + *[0-9a-f]*:   e9 a4 44 7f     ldc\.w cp2,cr4,r4\[0x1fc\]
12839 + *[0-9a-f]*:   e9 ad 89 24     ldc\.w cp4,cr9,sp\[0x90\]
12840 +
12841 +[0-9a-f]* <ldc_w2>:
12842 + *[0-9a-f]*:   ef a0 00 40     ldc\.w cp0,cr0,--r0
12843 + *[0-9a-f]*:   ef af ef 40     ldc\.w cp7,cr15,--pc
12844 + *[0-9a-f]*:   ef a5 65 40     ldc\.w cp3,cr5,--r5
12845 + *[0-9a-f]*:   ef a4 44 40     ldc\.w cp2,cr4,--r4
12846 + *[0-9a-f]*:   ef ad 89 40     ldc\.w cp4,cr9,--sp
12847 +
12848 +[0-9a-f]* <ldc_w3>:
12849 + *[0-9a-f]*:   ef a0 10 00     ldc\.w cp0,cr0,r0\[r0\]
12850 + *[0-9a-f]*:   ef af ff 3f     ldc\.w cp7,cr15,pc\[pc<<0x3\]
12851 + *[0-9a-f]*:   ef a5 75 24     ldc\.w cp3,cr5,r5\[r4<<0x2\]
12852 + *[0-9a-f]*:   ef a4 54 13     ldc\.w cp2,cr4,r4\[r3<<0x1\]
12853 + *[0-9a-f]*:   ef ad 99 0c     ldc\.w cp4,cr9,sp\[r12\]
12854 +
12855 +[0-9a-f]* <ldc_d1>:
12856 + *[0-9a-f]*:   e9 a0 10 00     ldc\.d cp0,cr0,r0\[0x0\]
12857 + *[0-9a-f]*:   e9 af fe ff     ldc\.d cp7,cr14,pc\[0x3fc\]
12858 + *[0-9a-f]*:   e9 a5 76 80     ldc\.d cp3,cr6,r5\[0x200\]
12859 + *[0-9a-f]*:   e9 a4 54 7f     ldc\.d cp2,cr4,r4\[0x1fc\]
12860 + *[0-9a-f]*:   e9 ad 98 24     ldc\.d cp4,cr8,sp\[0x90\]
12861 +
12862 +[0-9a-f]* <ldc_d2>:
12863 + *[0-9a-f]*:   ef a0 00 50     ldc\.d cp0,cr0,--r0
12864 + *[0-9a-f]*:   ef af ee 50     ldc\.d cp7,cr14,--pc
12865 + *[0-9a-f]*:   ef a5 66 50     ldc\.d cp3,cr6,--r5
12866 + *[0-9a-f]*:   ef a4 44 50     ldc\.d cp2,cr4,--r4
12867 + *[0-9a-f]*:   ef ad 88 50     ldc\.d cp4,cr8,--sp
12868 +
12869 +[0-9a-f]* <ldc_d3>:
12870 + *[0-9a-f]*:   ef a0 10 40     ldc\.d cp0,cr0,r0\[r0\]
12871 + *[0-9a-f]*:   ef af fe 7f     ldc\.d cp7,cr14,pc\[pc<<0x3\]
12872 + *[0-9a-f]*:   ef a5 76 64     ldc\.d cp3,cr6,r5\[r4<<0x2\]
12873 + *[0-9a-f]*:   ef a4 54 53     ldc\.d cp2,cr4,r4\[r3<<0x1\]
12874 + *[0-9a-f]*:   ef ad 98 4c     ldc\.d cp4,cr8,sp\[r12\]
12875 +
12876 +[0-9a-f]* <stc_w1>:
12877 + *[0-9a-f]*:   eb a0 00 00     stc\.w cp0,r0\[0x0\],cr0
12878 + *[0-9a-f]*:   eb af ef ff     stc\.w cp7,pc\[0x3fc\],cr15
12879 + *[0-9a-f]*:   eb a5 65 80     stc\.w cp3,r5\[0x200\],cr5
12880 + *[0-9a-f]*:   eb a4 44 7f     stc\.w cp2,r4\[0x1fc\],cr4
12881 + *[0-9a-f]*:   eb ad 89 24     stc\.w cp4,sp\[0x90\],cr9
12882 +
12883 +[0-9a-f]* <stc_w2>:
12884 + *[0-9a-f]*:   ef a0 00 60     stc\.w cp0,r0\+\+,cr0
12885 + *[0-9a-f]*:   ef af ef 60     stc\.w cp7,pc\+\+,cr15
12886 + *[0-9a-f]*:   ef a5 65 60     stc\.w cp3,r5\+\+,cr5
12887 + *[0-9a-f]*:   ef a4 44 60     stc\.w cp2,r4\+\+,cr4
12888 + *[0-9a-f]*:   ef ad 89 60     stc\.w cp4,sp\+\+,cr9
12889 +
12890 +[0-9a-f]* <stc_w3>:
12891 + *[0-9a-f]*:   ef a0 10 80     stc\.w cp0,r0\[r0\],cr0
12892 + *[0-9a-f]*:   ef af ff bf     stc\.w cp7,pc\[pc<<0x3\],cr15
12893 + *[0-9a-f]*:   ef a5 75 a4     stc\.w cp3,r5\[r4<<0x2\],cr5
12894 + *[0-9a-f]*:   ef a4 54 93     stc\.w cp2,r4\[r3<<0x1\],cr4
12895 + *[0-9a-f]*:   ef ad 99 8c     stc\.w cp4,sp\[r12\],cr9
12896 +
12897 +[0-9a-f]* <stc_d1>:
12898 + *[0-9a-f]*:   eb a0 10 00     stc\.d cp0,r0\[0x0\],cr0
12899 + *[0-9a-f]*:   eb af fe ff     stc\.d cp7,pc\[0x3fc\],cr14
12900 + *[0-9a-f]*:   eb a5 76 80     stc\.d cp3,r5\[0x200\],cr6
12901 + *[0-9a-f]*:   eb a4 54 7f     stc\.d cp2,r4\[0x1fc\],cr4
12902 + *[0-9a-f]*:   eb ad 98 24     stc\.d cp4,sp\[0x90\],cr8
12903 +
12904 +[0-9a-f]* <stc_d2>:
12905 + *[0-9a-f]*:   ef a0 00 70     stc\.d cp0,r0\+\+,cr0
12906 + *[0-9a-f]*:   ef af ee 70     stc\.d cp7,pc\+\+,cr14
12907 + *[0-9a-f]*:   ef a5 66 70     stc\.d cp3,r5\+\+,cr6
12908 + *[0-9a-f]*:   ef a4 44 70     stc\.d cp2,r4\+\+,cr4
12909 + *[0-9a-f]*:   ef ad 88 70     stc\.d cp4,sp\+\+,cr8
12910 +
12911 +[0-9a-f]* <stc_d3>:
12912 + *[0-9a-f]*:   ef a0 10 c0     stc\.d cp0,r0\[r0\],cr0
12913 + *[0-9a-f]*:   ef af fe ff     stc\.d cp7,pc\[pc<<0x3\],cr14
12914 + *[0-9a-f]*:   ef a5 76 e4     stc\.d cp3,r5\[r4<<0x2\],cr6
12915 + *[0-9a-f]*:   ef a4 54 d3     stc\.d cp2,r4\[r3<<0x1\],cr4
12916 + *[0-9a-f]*:   ef ad 98 cc     stc\.d cp4,sp\[r12\],cr8
12917 +
12918 +[0-9a-f]* <ldc0_w>:
12919 + *[0-9a-f]*:   f1 a0 00 00     ldc0\.w cr0,r0\[0x0\]
12920 + *[0-9a-f]*:   f1 af ff ff     ldc0\.w cr15,pc\[0x3ffc\]
12921 + *[0-9a-f]*:   f1 a5 85 00     ldc0\.w cr5,r5\[0x2000\]
12922 + *[0-9a-f]*:   f1 a4 74 ff     ldc0\.w cr4,r4\[0x1ffc\]
12923 + *[0-9a-f]*:   f1 ad 09 93     ldc0\.w cr9,sp\[0x24c\]
12924 +
12925 +[0-9a-f]* <ldc0_d>:
12926 + *[0-9a-f]*:   f3 a0 00 00     ldc0\.d cr0,r0\[0x0\]
12927 + *[0-9a-f]*:   f3 af fe ff     ldc0\.d cr14,pc\[0x3ffc\]
12928 + *[0-9a-f]*:   f3 a5 86 00     ldc0\.d cr6,r5\[0x2000\]
12929 + *[0-9a-f]*:   f3 a4 74 ff     ldc0\.d cr4,r4\[0x1ffc\]
12930 + *[0-9a-f]*:   f3 ad 08 93     ldc0\.d cr8,sp\[0x24c\]
12931 +
12932 +[0-9a-f]* <stc0_w>:
12933 + *[0-9a-f]*:   f5 a0 00 00     stc0\.w r0\[0x0\],cr0
12934 + *[0-9a-f]*:   f5 af ff ff     stc0\.w pc\[0x3ffc\],cr15
12935 + *[0-9a-f]*:   f5 a5 85 00     stc0\.w r5\[0x2000\],cr5
12936 + *[0-9a-f]*:   f5 a4 74 ff     stc0\.w r4\[0x1ffc\],cr4
12937 + *[0-9a-f]*:   f5 ad 09 93     stc0\.w sp\[0x24c\],cr9
12938 +
12939 +[0-9a-f]* <stc0_d>:
12940 + *[0-9a-f]*:   f7 a0 00 00     stc0\.d r0\[0x0\],cr0
12941 + *[0-9a-f]*:   f7 af fe ff     stc0\.d pc\[0x3ffc\],cr14
12942 + *[0-9a-f]*:   f7 a5 86 00     stc0\.d r5\[0x2000\],cr6
12943 + *[0-9a-f]*:   f7 a4 74 ff     stc0\.d r4\[0x1ffc\],cr4
12944 + *[0-9a-f]*:   f7 ad 08 93     stc0\.d sp\[0x24c\],cr8
12945 +
12946 +[0-9a-f]* <memc>:
12947 + *[0-9a-f]*:   f6 10 00 00     memc 0,0x0
12948 + *[0-9a-f]*:   f6 1f ff ff     memc -4,0x1f
12949 + *[0-9a-f]*:   f6 18 40 00     memc -65536,0x10
12950 + *[0-9a-f]*:   f6 17 bf ff     memc 65532,0xf
12951 +
12952 +[0-9a-f]* <mems>:
12953 + *[0-9a-f]*:   f8 10 00 00     mems 0,0x0
12954 + *[0-9a-f]*:   f8 1f ff ff     mems -4,0x1f
12955 + *[0-9a-f]*:   f8 18 40 00     mems -65536,0x10
12956 + *[0-9a-f]*:   f8 17 bf ff     mems 65532,0xf
12957 +
12958 +[0-9a-f]* <memt>:
12959 + *[0-9a-f]*:   fa 10 00 00     memt 0,0x0
12960 + *[0-9a-f]*:   fa 1f ff ff     memt -4,0x1f
12961 + *[0-9a-f]*:   fa 18 40 00     memt -65536,0x10
12962 + *[0-9a-f]*:   fa 17 bf ff     memt 65532,0xf
12963 +
12964 +[0-9a-f]* <stcond>:
12965 + *[0-9a-f]*:   e1 70 00 00     stcond r0\[0\],r0
12966 + *[0-9a-f]*:   ff 7f ff ff     stcond pc\[-1\],pc
12967 + *[0-9a-f]*:   f1 77 80 00     stcond r8\[-32768\],r7
12968 + *[0-9a-f]*:   ef 78 7f ff     stcond r7\[32767\],r8
12969 + *[0-9a-f]*:   eb 7a 12 34     stcond r5\[4660\],r10
12970 +
12971 +[0-9a-f]* <ldcm_w>:
12972 + *[0-9a-f]*:   ed af 00 ff     ldcm\.w cp0,pc,cr0-cr7
12973 + *[0-9a-f]*:   ed a0 e0 01     ldcm\.w cp7,r0,cr0
12974 + *[0-9a-f]*:   ed a4 90 7f     ldcm\.w cp4,r4\+\+,cr0-cr6
12975 + *[0-9a-f]*:   ed a7 60 80     ldcm\.w cp3,r7,cr7
12976 + *[0-9a-f]*:   ed ac 30 72     ldcm\.w cp1,r12\+\+,cr1,cr4-cr6
12977 + *[0-9a-f]*:   ed af 01 ff     ldcm\.w cp0,pc,cr8-cr15
12978 + *[0-9a-f]*:   ed a0 e1 01     ldcm\.w cp7,r0,cr8
12979 + *[0-9a-f]*:   ed a4 91 7f     ldcm\.w cp4,r4\+\+,cr8-cr14
12980 + *[0-9a-f]*:   ed a7 61 80     ldcm\.w cp3,r7,cr15
12981 + *[0-9a-f]*:   ed ac 31 72     ldcm\.w cp1,r12\+\+,cr9,cr12-cr14
12982 +
12983 +[0-9a-f]* <ldcm_d>:
12984 + *[0-9a-f]*:   ed af 04 ff     ldcm\.d cp0,pc,cr0-cr15
12985 + *[0-9a-f]*:   ed a0 e4 01     ldcm\.d cp7,r0,cr0-cr1
12986 + *[0-9a-f]*:   ed a4 94 7f     ldcm\.d cp4,r4\+\+,cr0-cr13
12987 + *[0-9a-f]*:   ed a7 64 80     ldcm\.d cp3,r7,cr14-cr15
12988 + *[0-9a-f]*:   ed ac 54 93     ldcm\.d cp2,r12\+\+,cr0-cr3,cr8-cr9,cr14-cr15
12989 +
12990 +[0-9a-f]* <stcm_w>:
12991 + *[0-9a-f]*:   ed af 02 ff     stcm\.w cp0,pc,cr0-cr7
12992 + *[0-9a-f]*:   ed a0 e2 01     stcm\.w cp7,r0,cr0
12993 + *[0-9a-f]*:   ed a4 92 7f     stcm\.w cp4,--r4,cr0-cr6
12994 + *[0-9a-f]*:   ed a7 62 80     stcm\.w cp3,r7,cr7
12995 + *[0-9a-f]*:   ed ac 32 72     stcm\.w cp1,--r12,cr1,cr4-cr6
12996 + *[0-9a-f]*:   ed af 03 ff     stcm\.w cp0,pc,cr8-cr15
12997 + *[0-9a-f]*:   ed a0 e3 01     stcm\.w cp7,r0,cr8
12998 + *[0-9a-f]*:   ed a4 93 7f     stcm\.w cp4,--r4,cr8-cr14
12999 + *[0-9a-f]*:   ed a7 63 80     stcm\.w cp3,r7,cr15
13000 + *[0-9a-f]*:   ed ac 33 72     stcm\.w cp1,--r12,cr9,cr12-cr14
13001 +
13002 +[0-9a-f]* <stcm_d>:
13003 + *[0-9a-f]*:   ed af 05 ff     stcm\.d cp0,pc,cr0-cr15
13004 + *[0-9a-f]*:   ed a0 e5 01     stcm\.d cp7,r0,cr0-cr1
13005 + *[0-9a-f]*:   ed a4 95 7f     stcm\.d cp4,--r4,cr0-cr13
13006 + *[0-9a-f]*:   ed a7 65 80     stcm\.d cp3,r7,cr14-cr15
13007 + *[0-9a-f]*:   ed ac 55 93     stcm\.d cp2,--r12,cr0-cr3,cr8-cr9,cr14-cr15
13008 +
13009 +[0-9a-f]* <mvcr_w>:
13010 + *[0-9a-f]*:   ef af ef 00     mvcr\.w cp7,pc,cr15
13011 + *[0-9a-f]*:   ef a0 00 00     mvcr\.w cp0,r0,cr0
13012 + *[0-9a-f]*:   ef af 0f 00     mvcr\.w cp0,pc,cr15
13013 + *[0-9a-f]*:   ef a0 ef 00     mvcr\.w cp7,r0,cr15
13014 + *[0-9a-f]*:   ef af e0 00     mvcr\.w cp7,pc,cr0
13015 + *[0-9a-f]*:   ef a7 88 00     mvcr\.w cp4,r7,cr8
13016 + *[0-9a-f]*:   ef a8 67 00     mvcr\.w cp3,r8,cr7
13017 +
13018 +[0-9a-f]* <mvcr_d>:
13019 + *[0-9a-f]*:   ef ae ee 10     mvcr\.d cp7,lr,cr14
13020 + *[0-9a-f]*:   ef a0 00 10     mvcr\.d cp0,r0,cr0
13021 + *[0-9a-f]*:   ef ae 0e 10     mvcr\.d cp0,lr,cr14
13022 + *[0-9a-f]*:   ef a0 ee 10     mvcr\.d cp7,r0,cr14
13023 + *[0-9a-f]*:   ef ae e0 10     mvcr\.d cp7,lr,cr0
13024 + *[0-9a-f]*:   ef a6 88 10     mvcr\.d cp4,r6,cr8
13025 + *[0-9a-f]*:   ef a8 66 10     mvcr\.d cp3,r8,cr6
13026 +
13027 +[0-9a-f]* <mvrc_w>:
13028 + *[0-9a-f]*:   ef af ef 20     mvrc\.w cp7,cr15,pc
13029 + *[0-9a-f]*:   ef a0 00 20     mvrc\.w cp0,cr0,r0
13030 + *[0-9a-f]*:   ef af 0f 20     mvrc\.w cp0,cr15,pc
13031 + *[0-9a-f]*:   ef a0 ef 20     mvrc\.w cp7,cr15,r0
13032 + *[0-9a-f]*:   ef af e0 20     mvrc\.w cp7,cr0,pc
13033 + *[0-9a-f]*:   ef a7 88 20     mvrc\.w cp4,cr8,r7
13034 + *[0-9a-f]*:   ef a8 67 20     mvrc\.w cp3,cr7,r8
13035 +
13036 +[0-9a-f]* <mvrc_d>:
13037 + *[0-9a-f]*:   ef ae ee 30     mvrc\.d cp7,cr14,lr
13038 + *[0-9a-f]*:   ef a0 00 30     mvrc\.d cp0,cr0,r0
13039 + *[0-9a-f]*:   ef ae 0e 30     mvrc\.d cp0,cr14,lr
13040 + *[0-9a-f]*:   ef a0 ee 30     mvrc\.d cp7,cr14,r0
13041 + *[0-9a-f]*:   ef ae e0 30     mvrc\.d cp7,cr0,lr
13042 + *[0-9a-f]*:   ef a6 88 30     mvrc\.d cp4,cr8,r6
13043 + *[0-9a-f]*:   ef a8 66 30     mvrc\.d cp3,cr6,r8
13044 +
13045 +[0-9a-f]* <bfexts>:
13046 + *[0-9a-f]*:   ff df b3 ff     bfexts pc,pc,0x1f,0x1f
13047 + *[0-9a-f]*:   e1 d0 b0 00     bfexts r0,r0,0x0,0x0
13048 + *[0-9a-f]*:   e1 df b3 ff     bfexts r0,pc,0x1f,0x1f
13049 + *[0-9a-f]*:   ff d0 b3 ff     bfexts pc,r0,0x1f,0x1f
13050 + *[0-9a-f]*:   ff df b0 1f     bfexts pc,pc,0x0,0x1f
13051 + *[0-9a-f]*:   ff df b3 e0     bfexts pc,pc,0x1f,0x0
13052 + *[0-9a-f]*:   ef d8 b1 f0     bfexts r7,r8,0xf,0x10
13053 + *[0-9a-f]*:   f1 d7 b2 0f     bfexts r8,r7,0x10,0xf
13054 +
13055 +[0-9a-f]* <bfextu>:
13056 + *[0-9a-f]*:   ff df c3 ff     bfextu pc,pc,0x1f,0x1f
13057 + *[0-9a-f]*:   e1 d0 c0 00     bfextu r0,r0,0x0,0x0
13058 + *[0-9a-f]*:   e1 df c3 ff     bfextu r0,pc,0x1f,0x1f
13059 + *[0-9a-f]*:   ff d0 c3 ff     bfextu pc,r0,0x1f,0x1f
13060 + *[0-9a-f]*:   ff df c0 1f     bfextu pc,pc,0x0,0x1f
13061 + *[0-9a-f]*:   ff df c3 e0     bfextu pc,pc,0x1f,0x0
13062 + *[0-9a-f]*:   ef d8 c1 f0     bfextu r7,r8,0xf,0x10
13063 + *[0-9a-f]*:   f1 d7 c2 0f     bfextu r8,r7,0x10,0xf
13064 +
13065 +[0-9a-f]* <bfins>:
13066 + *[0-9a-f]*:   ff df d3 ff     bfins pc,pc,0x1f,0x1f
13067 + *[0-9a-f]*:   e1 d0 d0 00     bfins r0,r0,0x0,0x0
13068 + *[0-9a-f]*:   e1 df d3 ff     bfins r0,pc,0x1f,0x1f
13069 + *[0-9a-f]*:   ff d0 d3 ff     bfins pc,r0,0x1f,0x1f
13070 + *[0-9a-f]*:   ff df d0 1f     bfins pc,pc,0x0,0x1f
13071 + *[0-9a-f]*:   ff df d3 e0     bfins pc,pc,0x1f,0x0
13072 + *[0-9a-f]*:   ef d8 d1 f0     bfins r7,r8,0xf,0x10
13073 + *[0-9a-f]*:   f1 d7 d2 0f     bfins r8,r7,0x10,0xf
13074 +
13075 +[0-9a-f]* <rsubc>:
13076 + *[0-9a-f]*:   fb bf 00 00     rsubeq pc,0
13077 + *[0-9a-f]*:   fb bc 0f ff     rsubal r12,-1
13078 + *[0-9a-f]*:   fb b5 08 80     rsubls r5,-128
13079 + *[0-9a-f]*:   fb b4 07 7f     rsubpl r4,127
13080 + *[0-9a-f]*:   fb be 01 01     rsubne lr,1
13081 + *[0-9a-f]*:   fb bc 08 76     rsubls r12,118
13082 + *[0-9a-f]*:   fb be 0d f4     rsubvc lr,-12
13083 + *[0-9a-f]*:   fb b4 06 f3     rsubmi r4,-13
13084 +
13085 +[0-9a-f]* <addc>:
13086 + *[0-9a-f]*:   ff df e0 0f     addeq pc,pc,pc
13087 + *[0-9a-f]*:   f9 dc ef 0c     addal r12,r12,r12
13088 + *[0-9a-f]*:   eb d5 e8 05     addls r5,r5,r5
13089 + *[0-9a-f]*:   e9 d4 e7 04     addpl r4,r4,r4   
13090 + *[0-9a-f]*:   fd de e1 0e     addne lr,lr,lr
13091 + *[0-9a-f]*:   e5 d1 e8 0a     addls r10,r2,r1
13092 + *[0-9a-f]*:   f1 db ed 0c     addvc r12,r8,r11
13093 + *[0-9a-f]*:   ef d0 e6 0a     addmi r10,r7,r0
13094 +
13095 +[0-9a-f]* <subc2>:
13096 + *[0-9a-f]*:   ff df e0 1f     subeq pc,pc,pc
13097 + *[0-9a-f]*:   f9 dc ef 1c     subal r12,r12,r12
13098 + *[0-9a-f]*:   eb d5 e8 15     subls r5,r5,r5
13099 + *[0-9a-f]*:   e9 d4 e7 14     subpl r4,r4,r4   
13100 + *[0-9a-f]*:   fd de e1 1e     subne lr,lr,lr
13101 + *[0-9a-f]*:   e5 d1 e8 1a     subls r10,r2,r1
13102 + *[0-9a-f]*:   f1 db ed 1c     subvc r12,r8,r11
13103 + *[0-9a-f]*:   ef d0 e6 1a     submi r10,r7,r0
13104 +
13105 +[0-9a-f]* <andc>:
13106 + *[0-9a-f]*:   ff df e0 2f     andeq pc,pc,pc
13107 + *[0-9a-f]*:   f9 dc ef 2c     andal r12,r12,r12
13108 + *[0-9a-f]*:   eb d5 e8 25     andls r5,r5,r5
13109 + *[0-9a-f]*:   e9 d4 e7 24     andpl r4,r4,r4   
13110 + *[0-9a-f]*:   fd de e1 2e     andne lr,lr,lr
13111 + *[0-9a-f]*:   e5 d1 e8 2a     andls r10,r2,r1
13112 + *[0-9a-f]*:   f1 db ed 2c     andvc r12,r8,r11
13113 + *[0-9a-f]*:   ef d0 e6 2a     andmi r10,r7,r0
13114 +
13115 +[0-9a-f]* <orc>:
13116 + *[0-9a-f]*:   ff df e0 3f     oreq pc,pc,pc
13117 + *[0-9a-f]*:   f9 dc ef 3c     oral r12,r12,r12
13118 + *[0-9a-f]*:   eb d5 e8 35     orls r5,r5,r5
13119 + *[0-9a-f]*:   e9 d4 e7 34     orpl r4,r4,r4   
13120 + *[0-9a-f]*:   fd de e1 3e     orne lr,lr,lr
13121 + *[0-9a-f]*:   e5 d1 e8 3a     orls r10,r2,r1
13122 + *[0-9a-f]*:   f1 db ed 3c     orvc r12,r8,r11
13123 + *[0-9a-f]*:   ef d0 e6 3a     ormi r10,r7,r0
13124 +
13125 +[0-9a-f]* <eorc>:
13126 + *[0-9a-f]*:   ff df e0 4f     eoreq pc,pc,pc
13127 + *[0-9a-f]*:   f9 dc ef 4c     eoral r12,r12,r12
13128 + *[0-9a-f]*:   eb d5 e8 45     eorls r5,r5,r5
13129 + *[0-9a-f]*:   e9 d4 e7 44     eorpl r4,r4,r4   
13130 + *[0-9a-f]*:   fd de e1 4e     eorne lr,lr,lr
13131 + *[0-9a-f]*:   e5 d1 e8 4a     eorls r10,r2,r1
13132 + *[0-9a-f]*:   f1 db ed 4c     eorvc r12,r8,r11
13133 + *[0-9a-f]*:   ef d0 e6 4a     eormi r10,r7,r0
13134 +
13135 +[0-9a-f]* <ldcond>:
13136 + *[0-9a-f]*:   ff ff 01 ff     ld.weq  pc,pc[0x7fc]
13137 + *[0-9a-f]*:   f9 fc f3 ff     ld.shal r12,r12[0x3fe]
13138 + *[0-9a-f]*:   eb f5 84 00     ld.shls r5,r5[0x0]
13139 + *[0-9a-f]*:   e9 f4 79 ff     ld.ubpl r4,r4[0x1ff]
13140 + *[0-9a-f]*:   fd fe 16 00     ld.sbne lr,lr[0x0]
13141 + *[0-9a-f]*:   e5 fa 80 00     ld.wls  r10,r2[0x0]
13142 + *[0-9a-f]*:   f1 fc d3 ff     ld.shvc r12,r8[0x3fe]
13143 + *[0-9a-f]*:   ef fa 68 01     ld.ubmi r10,r7[0x1]
13144 +
13145 +[0-9a-f]* <stcond2>:
13146 + *[0-9a-f]*:   ff ff 0b ff     st.weq pc[0x7fc],pc
13147 + *[0-9a-f]*:   f9 fc fd ff     st.hal r12[0x3fe],r12
13148 + *[0-9a-f]*:   eb f5 8c 00     st.hls r5[0x0],r5
13149 + *[0-9a-f]*:   e9 f4 7f ff     st.bpl r4[0x1ff],r4
13150 + *[0-9a-f]*:   fd fe 1e 00     st.bne lr[0x0],lr
13151 + *[0-9a-f]*:   e5 fa 8a 00     st.wls r2[0x0],r10
13152 + *[0-9a-f]*:   f1 fc dd ff     st.hvc r8[0x3fe],r12
13153 + *[0-9a-f]*:   ef fa 6e 01     st.bmi r7[0x1],r10
13154 +
13155 +[0-9a-f]* <movh>:
13156 + *[0-9a-f]*:   fc 1f ff ff     movh pc,0xffff
13157 + *[0-9a-f]*:   fc 10 00 00     movh r0,0x0
13158 + *[0-9a-f]*:   fc 15 00 01     movh r5,0x1
13159 + *[0-9a-f]*:   fc 1c 7f ff     movh r12,0x7fff
13160 +
13161 --- /dev/null
13162 +++ b/gas/testsuite/gas/avr32/allinsn.exp
13163 @@ -0,0 +1,5 @@
13164 +# AVR32 assembler testsuite. -*- Tcl -*-
13165 +
13166 +if [istarget avr32-*-*] {
13167 +    run_dump_test "allinsn"
13168 +}
13169 --- /dev/null
13170 +++ b/gas/testsuite/gas/avr32/allinsn.s
13171 @@ -0,0 +1,3330 @@
13172 + .data
13173 +foodata: .word 42
13174 + .text
13175 +footext:
13176 +       .text
13177 +       .global ld_d5
13178 +ld_d5:
13179 +       ld.d lr,pc[pc<<3]
13180 +       ld.d r0,r0[r0<<0]
13181 +       ld.d r6,r5[r5<<2]
13182 +       ld.d r4,r4[r4<<1]
13183 +       ld.d lr,lr[lr<<1]
13184 +       ld.d r10,r3[sp<<2]
13185 +       ld.d r8,r10[r6<<2]
13186 +       ld.d r2,r7[r9<<0]
13187 +       .text
13188 +       .global ld_w5
13189 +ld_w5:
13190 +       ld.w pc,pc[pc<<0]
13191 +       ld.w r12,r12[r12<<3]
13192 +       ld.w r5,r5[r5<<2]
13193 +       ld.w r4,r4[r4<<1]
13194 +       ld.w lr,lr[lr<<1]
13195 +       ld.w r2,r9[r9<<0]
13196 +       ld.w r11,r2[r6<<0]
13197 +       ld.w r0,r2[sp<<3]
13198 +       .text
13199 +       .global ld_sh5
13200 +ld_sh5:
13201 +       ld.sh pc,pc[pc<<0]
13202 +       ld.sh r12,r12[r12<<3]
13203 +       ld.sh r5,r5[r5<<2]
13204 +       ld.sh r4,r4[r4<<1]
13205 +       ld.sh lr,lr[lr<<1]
13206 +       ld.sh r11,r0[pc<<2]
13207 +       ld.sh r10,sp[r6<<2]
13208 +       ld.sh r12,r2[r2<<0]
13209 +       .text
13210 +       .global ld_uh5
13211 +ld_uh5:
13212 +       ld.uh pc,pc[pc<<0]
13213 +       ld.uh r12,r12[r12<<3]
13214 +       ld.uh r5,r5[r5<<2]
13215 +       ld.uh r4,r4[r4<<1]
13216 +       ld.uh lr,lr[lr<<1]
13217 +       ld.uh r8,pc[lr<<3]
13218 +       ld.uh r6,r1[pc<<1]
13219 +       ld.uh r6,lr[sp<<1]
13220 +       .text
13221 +       .global ld_sb2
13222 +ld_sb2:
13223 +       ld.sb pc,pc[pc<<0]
13224 +       ld.sb r12,r12[r12<<3]
13225 +       ld.sb r5,r5[r5<<2]
13226 +       ld.sb r4,r4[r4<<1]
13227 +       ld.sb lr,lr[lr<<1]
13228 +       ld.sb r9,r1[pc<<3]
13229 +       ld.sb r0,r3[r11<<1]
13230 +       ld.sb r10,r5[r5<<1]
13231 +       .text
13232 +       .global ld_ub5
13233 +ld_ub5:
13234 +       ld.ub pc,pc[pc<<0]
13235 +       ld.ub r12,r12[r12<<3]
13236 +       ld.ub r5,r5[r5<<2]
13237 +       ld.ub r4,r4[r4<<1]
13238 +       ld.ub lr,lr[lr<<1]
13239 +       ld.ub r6,r12[r7<<3]
13240 +       ld.ub r2,r6[r12<<0]
13241 +       ld.ub r0,r7[r11<<1]
13242 +       .text
13243 +       .global st_d5
13244 +st_d5:
13245 +       st.d pc[pc<<0],r14
13246 +       st.d r12[r12<<3],r12
13247 +       st.d r5[r5<<2],r6
13248 +       st.d r4[r4<<1],r4
13249 +       st.d lr[lr<<1],lr
13250 +       st.d r1[r9<<1],r4
13251 +       st.d r10[r2<<1],r4
13252 +       st.d r12[r6<<0],lr
13253 +       .text
13254 +       .global st_w5
13255 +st_w5:
13256 +       st.w pc[pc<<0],pc
13257 +       st.w r12[r12<<3],r12
13258 +       st.w r5[r5<<2],r5
13259 +       st.w r4[r4<<1],r4
13260 +       st.w lr[lr<<1],lr
13261 +       st.w r1[r10<<0],r3
13262 +       st.w r0[r10<<1],r9
13263 +       st.w r4[r5<<3],pc
13264 +       .text
13265 +       .global st_h5
13266 +st_h5:
13267 +       st.h pc[pc<<0],pc
13268 +       st.h r12[r12<<3],r12
13269 +       st.h r5[r5<<2],r5
13270 +       st.h r4[r4<<1],r4
13271 +       st.h lr[lr<<1],lr
13272 +       st.h r2[r9<<0],r11
13273 +       st.h r5[r1<<2],r12
13274 +       st.h pc[r8<<2],r3
13275 +       .text
13276 +       .global st_b5
13277 +st_b5:
13278 +       st.b pc[pc<<0],pc
13279 +       st.b r12[r12<<3],r12
13280 +       st.b r5[r5<<2],r5
13281 +       st.b r4[r4<<1],r4
13282 +       st.b lr[lr<<1],lr
13283 +       st.b r1[r8<<1],r6
13284 +       st.b lr[lr<<3],r1
13285 +       st.b r5[r0<<2],pc
13286 +       .text
13287 +       .global divs
13288 +divs:
13289 +       divs pc,pc,pc
13290 +       divs r12,r12,r12
13291 +       divs r5,r5,r5
13292 +       divs r4,r4,r4
13293 +       divs lr,lr,lr
13294 +       divs r3,pc,pc
13295 +       divs r9,r12,r2
13296 +       divs r7,r4,r1
13297 +       .text
13298 +       .global add1
13299 +add1:
13300 +       add pc,pc
13301 +       add r12,r12
13302 +       add r5,r5
13303 +       add r4,r4
13304 +       add lr,lr
13305 +       add r12,r9
13306 +       add r6,r3
13307 +       add r10,r12
13308 +       .text
13309 +       .global sub1
13310 +sub1:
13311 +       sub pc,pc
13312 +       sub r12,r12
13313 +       sub r5,r5
13314 +       sub r4,r4
13315 +       sub lr,lr
13316 +       sub lr,r6
13317 +       sub r0,sp
13318 +       sub r6,r12
13319 +       .text
13320 +       .global rsub1
13321 +rsub1:
13322 +       rsub pc,pc
13323 +       rsub r12,r12
13324 +       rsub r5,r5
13325 +       rsub r4,r4
13326 +       rsub lr,lr
13327 +       rsub r11,sp
13328 +       rsub r7,r4
13329 +       rsub r9,r1
13330 +       .text
13331 +       .global cp1
13332 +cp1:
13333 +       cp pc,pc
13334 +       cp r12,r12
13335 +       cp r5,r5
13336 +       cp r4,r4
13337 +       cp lr,lr
13338 +       cp r6,r2
13339 +       cp r0,r9
13340 +       cp r3,sp
13341 +       .text
13342 +       .global or1
13343 +or1:
13344 +       or pc,pc
13345 +       or r12,r12
13346 +       or r5,r5
13347 +       or r4,r4
13348 +       or lr,lr
13349 +       or r4,r9
13350 +       or r11,r4
13351 +       or r4,r0
13352 +       .text
13353 +       .global eor1
13354 +eor1:
13355 +       eor pc,pc
13356 +       eor r12,r12
13357 +       eor r5,r5
13358 +       eor r4,r4
13359 +       eor lr,lr
13360 +       eor r12,r11
13361 +       eor r0,r1
13362 +       eor r5,pc
13363 +       .text
13364 +       .global and1
13365 +and1:
13366 +       and pc,pc
13367 +       and r12,r12
13368 +       and r5,r5
13369 +       and r4,r4
13370 +       and lr,lr
13371 +       and r8,r1
13372 +       and r0,sp
13373 +       and r10,r5
13374 +       .text
13375 +       .global tst
13376 +tst:
13377 +       tst pc,pc
13378 +       tst r12,r12
13379 +       tst r5,r5
13380 +       tst r4,r4
13381 +       tst lr,lr
13382 +       tst r0,r12
13383 +       tst r10,r6
13384 +       tst sp,r4
13385 +       .text
13386 +       .global andn
13387 +andn:
13388 +       andn pc,pc
13389 +       andn r12,r12
13390 +       andn r5,r5
13391 +       andn r4,r4
13392 +       andn lr,lr
13393 +       andn r9,r12
13394 +       andn r11,sp
13395 +       andn r12,r5
13396 +       .text
13397 +       .global mov3
13398 +mov3:
13399 +       mov pc,pc
13400 +       mov r12,r12
13401 +       mov r5,r5
13402 +       mov r4,r4
13403 +       mov lr,lr
13404 +       mov r5,r9
13405 +       mov r11,r11
13406 +       mov r2,lr
13407 +       .text
13408 +       .global st_w1
13409 +st_w1:
13410 +       st.w pc++,pc
13411 +       st.w r12++,r12
13412 +       st.w r5++,r5
13413 +       st.w r4++,r4
13414 +       st.w lr++,lr
13415 +       st.w r1++,r11
13416 +       st.w sp++,r0
13417 +       st.w sp++,r1
13418 +       .text
13419 +       .global st_h1
13420 +st_h1:
13421 +       st.h pc++,pc
13422 +       st.h r12++,r12
13423 +       st.h r5++,r5
13424 +       st.h r4++,r4
13425 +       st.h lr++,lr
13426 +       st.h r12++,sp
13427 +       st.h r7++,lr
13428 +       st.h r7++,r4
13429 +       .text
13430 +       .global st_b1
13431 +st_b1:
13432 +       st.b pc++,pc
13433 +       st.b r12++,r12
13434 +       st.b r5++,r5
13435 +       st.b r4++,r4
13436 +       st.b lr++,lr
13437 +       st.b r9++,sp
13438 +       st.b r1++,sp
13439 +       st.b r0++,r4
13440 +       .text
13441 +       .global st_w2
13442 +st_w2:
13443 +       st.w --pc,pc
13444 +       st.w --r12,r12
13445 +       st.w --r5,r5
13446 +       st.w --r4,r4
13447 +       st.w --lr,lr
13448 +       st.w --r1,r7
13449 +       st.w --r3,r9
13450 +       st.w --r5,r5
13451 +       .text
13452 +       .global st_h2
13453 +st_h2:
13454 +       st.h --pc,pc
13455 +       st.h --r12,r12
13456 +       st.h --r5,r5
13457 +       st.h --r4,r4
13458 +       st.h --lr,lr
13459 +       st.h --r5,r7
13460 +       st.h --r8,r8
13461 +       st.h --r7,r2
13462 +       .text
13463 +       .global st_b2
13464 +st_b2:
13465 +       st.b --pc,pc
13466 +       st.b --r12,r12
13467 +       st.b --r5,r5
13468 +       st.b --r4,r4
13469 +       st.b --lr,lr
13470 +       st.b --sp,sp
13471 +       st.b --sp,r11
13472 +       st.b --r4,r5
13473 +       .text
13474 +       .global ld_w1
13475 +ld_w1:
13476 +       ld.w pc,pc++
13477 +       ld.w r12,r12++
13478 +       ld.w r5,r5++
13479 +       ld.w r4,r4++
13480 +       ld.w lr,lr++
13481 +       ld.w r3,r7++
13482 +       ld.w r3,lr++
13483 +       ld.w r12,r5++
13484 +       .text
13485 +       .global ld_sh1
13486 +ld_sh1:
13487 +       ld.sh pc,pc++
13488 +       ld.sh r12,r12++
13489 +       ld.sh r5,r5++
13490 +       ld.sh r4,r4++
13491 +       ld.sh lr,lr++
13492 +       ld.sh r11,r2++
13493 +       ld.sh r2,r8++
13494 +       ld.sh r7,r6++
13495 +       .text
13496 +       .global ld_uh1
13497 +ld_uh1:
13498 +       ld.uh pc,pc++
13499 +       ld.uh r12,r12++
13500 +       ld.uh r5,r5++
13501 +       ld.uh r4,r4++
13502 +       ld.uh lr,lr++
13503 +       ld.uh r6,r7++
13504 +       ld.uh r10,r11++
13505 +       ld.uh lr,r4++
13506 +       .text
13507 +       .global ld_ub1
13508 +ld_ub1:
13509 +       ld.ub pc,pc++
13510 +       ld.ub r12,r12++
13511 +       ld.ub r5,r5++
13512 +       ld.ub r4,r4++
13513 +       ld.ub lr,lr++
13514 +       ld.ub r8,lr++
13515 +       ld.ub r12,r12++
13516 +       ld.ub r11,r10++
13517 +       .text
13518 +       .global ld_w2
13519 +ld_w2:
13520 +       ld.w pc,--pc
13521 +       ld.w r12,--r12
13522 +       ld.w r5,--r5
13523 +       ld.w r4,--r4
13524 +       ld.w lr,--lr
13525 +       ld.w r10,--lr
13526 +       ld.w r12,--r9
13527 +       ld.w r6,--r5
13528 +       .text
13529 +       .global ld_sh2
13530 +ld_sh2:
13531 +       ld.sh pc,--pc
13532 +       ld.sh r12,--r12
13533 +       ld.sh r5,--r5
13534 +       ld.sh r4,--r4
13535 +       ld.sh lr,--lr
13536 +       ld.sh pc,--r10
13537 +       ld.sh r6,--r3
13538 +       ld.sh r4,--r6
13539 +       .text
13540 +       .global ld_uh2
13541 +ld_uh2:
13542 +       ld.uh pc,--pc
13543 +       ld.uh r12,--r12
13544 +       ld.uh r5,--r5
13545 +       ld.uh r4,--r4
13546 +       ld.uh lr,--lr
13547 +       ld.uh r3,--r2
13548 +       ld.uh r1,--r0
13549 +       ld.uh r2,--r9
13550 +       .text
13551 +       .global ld_ub2
13552 +ld_ub2:
13553 +       ld.ub pc,--pc
13554 +       ld.ub r12,--r12
13555 +       ld.ub r5,--r5
13556 +       ld.ub r4,--r4
13557 +       ld.ub lr,--lr
13558 +       ld.ub r1,--r1
13559 +       ld.ub r0,--r6
13560 +       ld.ub r2,--r7
13561 +       .text
13562 +       .global ld_ub3
13563 +ld_ub3:
13564 +       ld.ub pc,pc[0]
13565 +       ld.ub r12,r12[7]
13566 +       ld.ub r5,r5[4]
13567 +       ld.ub r4,r4[3]
13568 +       ld.ub lr,lr[1]
13569 +       ld.ub r6,r9[6]
13570 +       ld.ub r2,lr[4]
13571 +       ld.ub r1,r8[0]
13572 +       .text
13573 +       .global sub3_sp
13574 +sub3_sp:
13575 +       sub sp,0
13576 +       sub sp,-4
13577 +       sub sp,-512
13578 +       sub sp,508
13579 +       sub sp,4
13580 +       sub sp,44
13581 +       sub sp,8
13582 +       sub sp,348
13583 +       .text
13584 +       .global sub3
13585 +sub3:
13586 +       sub pc,0
13587 +       sub r12,-1
13588 +       sub r5,-128
13589 +       sub r4,127
13590 +       sub lr,1
13591 +       sub r6,-41
13592 +       sub r4,37
13593 +       sub r12,56
13594 +       .text
13595 +       .global mov1
13596 +mov1:
13597 +       mov pc,0
13598 +       mov r12,-1
13599 +       mov r5,-128
13600 +       mov r4,127
13601 +       mov lr,1
13602 +       mov pc,14
13603 +       mov r6,-100
13604 +       mov lr,-122
13605 +       .text
13606 +       .global lddsp
13607 +lddsp:
13608 +       lddsp pc,sp[0]
13609 +       lddsp r12,sp[508]
13610 +       lddsp r5,sp[256]
13611 +       lddsp r4,sp[252]
13612 +       lddsp lr,sp[4]
13613 +       lddsp lr,sp[256]
13614 +       lddsp r12,sp[20]
13615 +       lddsp r9,sp[472]
13616 +       .text
13617 +       .global lddpc
13618 +lddpc:
13619 +       lddpc pc,pc[0]
13620 +       lddpc r0,pc[508]
13621 +       lddpc r8,pc[256]
13622 +       lddpc r7,pc[252]
13623 +       lddpc lr,pc[4]
13624 +       lddpc sp,pc[472]
13625 +       lddpc r6,pc[120]
13626 +       lddpc r11,pc[28]
13627 +       .text
13628 +       .global stdsp
13629 +stdsp:
13630 +       stdsp sp[0],pc
13631 +       stdsp sp[508],r12
13632 +       stdsp sp[256],r5
13633 +       stdsp sp[252],r4
13634 +       stdsp sp[4],lr
13635 +       stdsp sp[304],pc
13636 +       stdsp sp[256],r0
13637 +       stdsp sp[336],r5
13638 +       .text
13639 +       .global cp2
13640 +cp2:
13641 +       cp pc,0
13642 +       cp r12,-1
13643 +       cp r5,-32
13644 +       cp r4,31
13645 +       cp lr,1
13646 +       cp r8,3
13647 +       cp lr,16
13648 +       cp r7,-26
13649 +       .text
13650 +       .global acr
13651 +acr:
13652 +       acr pc
13653 +       acr r12
13654 +       acr r5
13655 +       acr r4
13656 +       acr lr
13657 +       acr r2
13658 +       acr r12
13659 +       acr pc
13660 +       .text
13661 +       .global scr
13662 +scr:
13663 +       scr pc
13664 +       scr r12
13665 +       scr r5
13666 +       scr r4
13667 +       scr lr
13668 +       scr pc
13669 +       scr r6
13670 +       scr r1
13671 +       .text
13672 +       .global cpc0
13673 +cpc0:
13674 +       cpc pc
13675 +       cpc r12
13676 +       cpc r5
13677 +       cpc r4
13678 +       cpc lr
13679 +       cpc pc
13680 +       cpc r4
13681 +       cpc r9
13682 +       .text
13683 +       .global neg
13684 +neg:
13685 +       neg pc
13686 +       neg r12
13687 +       neg r5
13688 +       neg r4
13689 +       neg lr
13690 +       neg r7
13691 +       neg r1
13692 +       neg r9
13693 +       .text
13694 +       .global abs
13695 +abs:
13696 +       abs pc
13697 +       abs r12
13698 +       abs r5
13699 +       abs r4
13700 +       abs lr
13701 +       abs r6
13702 +       abs r6
13703 +       abs r4
13704 +       .text
13705 +       .global castu_b
13706 +castu_b:
13707 +       castu.b pc
13708 +       castu.b r12
13709 +       castu.b r5
13710 +       castu.b r4
13711 +       castu.b lr
13712 +       castu.b r7
13713 +       castu.b sp
13714 +       castu.b r9
13715 +       .text
13716 +       .global casts_b
13717 +casts_b:
13718 +       casts.b pc
13719 +       casts.b r12
13720 +       casts.b r5
13721 +       casts.b r4
13722 +       casts.b lr
13723 +       casts.b r11
13724 +       casts.b r1
13725 +       casts.b r10
13726 +       .text
13727 +       .global castu_h
13728 +castu_h:
13729 +       castu.h pc
13730 +       castu.h r12
13731 +       castu.h r5
13732 +       castu.h r4
13733 +       castu.h lr
13734 +       castu.h r10
13735 +       castu.h r11
13736 +       castu.h r1
13737 +       .text
13738 +       .global casts_h
13739 +casts_h:
13740 +       casts.h pc
13741 +       casts.h r12
13742 +       casts.h r5
13743 +       casts.h r4
13744 +       casts.h lr
13745 +       casts.h r0
13746 +       casts.h r5
13747 +       casts.h r9
13748 +       .text
13749 +       .global brev
13750 +brev:
13751 +       brev pc
13752 +       brev r12
13753 +       brev r5
13754 +       brev r4
13755 +       brev lr
13756 +       brev r5
13757 +       brev r10
13758 +       brev r8
13759 +       .text
13760 +       .global swap_h
13761 +swap_h:
13762 +       swap.h pc
13763 +       swap.h r12
13764 +       swap.h r5
13765 +       swap.h r4
13766 +       swap.h lr
13767 +       swap.h r7
13768 +       swap.h r0
13769 +       swap.h r8
13770 +       .text
13771 +       .global swap_b
13772 +swap_b:
13773 +       swap.b pc
13774 +       swap.b r12
13775 +       swap.b r5
13776 +       swap.b r4
13777 +       swap.b lr
13778 +       swap.b r10
13779 +       swap.b r12
13780 +       swap.b r1
13781 +       .text
13782 +       .global swap_bh
13783 +swap_bh:
13784 +       swap.bh pc
13785 +       swap.bh r12
13786 +       swap.bh r5
13787 +       swap.bh r4
13788 +       swap.bh lr
13789 +       swap.bh r9
13790 +       swap.bh r4
13791 +       swap.bh r1
13792 +       .text
13793 +       .global One_s_compliment
13794 +One_s_compliment:
13795 +       com pc
13796 +       com r12
13797 +       com r5
13798 +       com r4
13799 +       com lr
13800 +       com r2
13801 +       com r2
13802 +       com r7
13803 +       .text
13804 +       .global tnbz
13805 +tnbz:
13806 +       tnbz pc
13807 +       tnbz r12
13808 +       tnbz r5
13809 +       tnbz r4
13810 +       tnbz lr
13811 +       tnbz r8
13812 +       tnbz r12
13813 +       tnbz pc
13814 +       .text
13815 +       .global rol
13816 +rol:
13817 +       rol pc
13818 +       rol r12
13819 +       rol r5
13820 +       rol r4
13821 +       rol lr
13822 +       rol r10
13823 +       rol r9
13824 +       rol r5
13825 +       .text
13826 +       .global ror
13827 +ror:
13828 +       ror pc
13829 +       ror r12
13830 +       ror r5
13831 +       ror r4
13832 +       ror lr
13833 +       ror r8
13834 +       ror r4
13835 +       ror r7
13836 +       .text
13837 +       .global icall
13838 +icall:
13839 +       icall pc
13840 +       icall r12
13841 +       icall r5
13842 +       icall r4
13843 +       icall lr
13844 +       icall r3
13845 +       icall r1
13846 +       icall r3
13847 +       .text
13848 +       .global mustr
13849 +mustr:
13850 +       mustr pc
13851 +       mustr r12
13852 +       mustr r5
13853 +       mustr r4
13854 +       mustr lr
13855 +       mustr r1
13856 +       mustr r4
13857 +       mustr r12
13858 +       .text
13859 +       .global musfr
13860 +musfr:
13861 +       musfr pc
13862 +       musfr r12
13863 +       musfr r5
13864 +       musfr r4
13865 +       musfr lr
13866 +       musfr r11
13867 +       musfr r12
13868 +       musfr r2
13869 +       .text
13870 +       .global ret_cond
13871 +ret_cond:
13872 +       reteq pc
13873 +       retal r12
13874 +       retls r5
13875 +       retpl r4
13876 +       retne lr
13877 +       retgt r0
13878 +       retgt r12
13879 +       retge r10
13880 +       .text
13881 +       .global sr_cond
13882 +sr_cond:
13883 +       sreq pc
13884 +       sral r12
13885 +       srls r5
13886 +       srpl r4
13887 +       srne lr
13888 +       srlt r0
13889 +       sral sp
13890 +       srge r9
13891 +       .text
13892 +       .global ld_w3
13893 +ld_w3:
13894 +       ld.w pc,pc[0]
13895 +       ld.w r12,r12[124]
13896 +       ld.w r5,r5[64]
13897 +       ld.w r4,r4[60]
13898 +       ld.w lr,lr[4]
13899 +       ld.w sp,r2[52]
13900 +       ld.w r9,r1[8]
13901 +       ld.w r5,sp[60]
13902 +       .text
13903 +       .global ld_sh3
13904 +ld_sh3:
13905 +       ld.sh pc,pc[0]
13906 +       ld.sh r12,r12[14]
13907 +       ld.sh r5,r5[8]
13908 +       ld.sh r4,r4[6]
13909 +       ld.sh lr,lr[2]
13910 +       ld.sh r4,r2[8]
13911 +       ld.sh sp,lr[10]
13912 +       ld.sh r2,r11[2]
13913 +       .text
13914 +       .global ld_uh3
13915 +ld_uh3:
13916 +       ld.uh pc,pc[0]
13917 +       ld.uh r12,r12[14]
13918 +       ld.uh r5,r5[8]
13919 +       ld.uh r4,r4[6]
13920 +       ld.uh lr,lr[2]
13921 +       ld.uh r10,r0[10]
13922 +       ld.uh r8,r11[8]
13923 +       ld.uh r10,r2[12]
13924 +       .text
13925 +       .global st_w3
13926 +st_w3:
13927 +       st.w pc[0],pc
13928 +       st.w r12[60],r12
13929 +       st.w r5[32],r5
13930 +       st.w r4[28],r4
13931 +       st.w lr[4],lr
13932 +       st.w r7[44],r11
13933 +       st.w r2[24],r6
13934 +       st.w r4[12],r9
13935 +       .text
13936 +       .global st_h3
13937 +st_h3:
13938 +       st.h pc[0],pc
13939 +       st.h r12[14],r12
13940 +       st.h r5[8],r5
13941 +       st.h r4[6],r4
13942 +       st.h lr[2],lr
13943 +       st.h lr[10],r12
13944 +       st.h r6[4],r0
13945 +       st.h r5[12],sp
13946 +       .text
13947 +       .global st_b3
13948 +st_b3:
13949 +       st.b pc[0],pc
13950 +       st.b r12[7],r12
13951 +       st.b r5[4],r5
13952 +       st.b r4[3],r4
13953 +       st.b lr[1],lr
13954 +       st.b r12[6],r9
13955 +       st.b r2[3],lr
13956 +       st.b r1[3],r11
13957 +       .text
13958 +       .global ldd
13959 +ldd:
13960 +       ld.d r0,pc
13961 +       ld.d r14,r12
13962 +       ld.d r8,r5
13963 +       ld.d r6,r4
13964 +       ld.d r2,lr
13965 +       ld.d r14,r7
13966 +       ld.d r4,r4
13967 +       ld.d r14,pc
13968 +       .text
13969 +       .global ldd_postinc
13970 +ldd_postinc:
13971 +       ld.d r0,pc++
13972 +       ld.d r14,r12++
13973 +       ld.d r8,r5++
13974 +       ld.d r6,r4++
13975 +       ld.d r2,lr++
13976 +       ld.d r14,r5++
13977 +       ld.d r12,r11++
13978 +       ld.d r2,r12++
13979 +       .text
13980 +       .global ldd_predec
13981 +ldd_predec:
13982 +       ld.d r0,--pc
13983 +       ld.d r14,--r12
13984 +       ld.d r8,--r5
13985 +       ld.d r6,--r4
13986 +       ld.d r2,--lr
13987 +       ld.d r8,--r0
13988 +       ld.d r10,--pc
13989 +       ld.d r2,--r4
13990 +       .text
13991 +       .global std
13992 +std:
13993 +       st.d pc,r0
13994 +       st.d r12,r14
13995 +       st.d r5,r8
13996 +       st.d r4,r6
13997 +       st.d lr,r2
13998 +       st.d r0,r12
13999 +       st.d sp,r4
14000 +       st.d r12,r12
14001 +       .text
14002 +       .global std_postinc
14003 +std_postinc:
14004 +       st.d pc++,r0
14005 +       st.d r12++,r14
14006 +       st.d r5++,r8
14007 +       st.d r4++,r6
14008 +       st.d lr++,r2
14009 +       st.d sp++,r6
14010 +       st.d r10++,r6
14011 +       st.d r7++,r2
14012 +       .text
14013 +       .global std_predec
14014 +std_predec:
14015 +       st.d --pc,r0
14016 +       st.d --r12,r14
14017 +       st.d --r5,r8
14018 +       st.d --r4,r6
14019 +       st.d --lr,r2
14020 +       st.d --r3,r6
14021 +       st.d --lr,r2
14022 +       st.d --r0,r4
14023 +       .text
14024 +       .global mul
14025 +mul:
14026 +       mul pc,pc
14027 +       mul r12,r12
14028 +       mul r5,r5
14029 +       mul r4,r4
14030 +       mul lr,lr
14031 +       mul r10,lr
14032 +       mul r0,r8
14033 +       mul r8,r5
14034 +       .text
14035 +       .global asr_imm5
14036 +asr_imm5:
14037 +       asr pc,0
14038 +       asr r12,31
14039 +       asr r5,16
14040 +       asr r4,15
14041 +       asr lr,1
14042 +       asr r6,23
14043 +       asr r6,18
14044 +       asr r5,8
14045 +       .text
14046 +       .global lsl_imm5
14047 +lsl_imm5:
14048 +       lsl pc,0
14049 +       lsl r12,31
14050 +       lsl r5,16
14051 +       lsl r4,15
14052 +       lsl lr,1
14053 +       lsl r12,13
14054 +       lsl r6,16
14055 +       lsl r1,25
14056 +       .text
14057 +       .global lsr_imm5
14058 +lsr_imm5:
14059 +       lsr pc,0
14060 +       lsr r12,31
14061 +       lsr r5,16
14062 +       lsr r4,15
14063 +       lsr lr,1
14064 +       lsr r0,1
14065 +       lsr r8,10
14066 +       lsr r7,26
14067 +       .text
14068 +       .global sbr
14069 +sbr:
14070 +       sbr pc,0
14071 +       sbr r12,31
14072 +       sbr r5,16
14073 +       sbr r4,15
14074 +       sbr lr,1
14075 +       sbr r8,31
14076 +       sbr r6,22
14077 +       sbr r1,23
14078 +       .text
14079 +       .global cbr
14080 +cbr:
14081 +       cbr pc,0
14082 +       cbr r12,31
14083 +       cbr r5,16
14084 +       cbr r4,15
14085 +       cbr lr,1
14086 +       cbr r12,10
14087 +       cbr r7,22
14088 +       cbr r8,9
14089 +       .text
14090 +       .global brc1
14091 +brc1:
14092 +       breq 0
14093 +       brpl -2
14094 +       brge -256
14095 +       brcs 254
14096 +       brne 2
14097 +       brcs 230
14098 +       breq -18
14099 +       breq 12
14100 +       .text
14101 +       .global rjmp
14102 +rjmp:
14103 +       rjmp 0
14104 +       rjmp -2
14105 +       rjmp -1024
14106 +       rjmp 1022
14107 +       rjmp 2
14108 +       rjmp -962
14109 +       rjmp 14
14110 +       rjmp -516
14111 +       .text
14112 +       .global rcall1
14113 +rcall1:
14114 +       rcall 0
14115 +       rcall -2
14116 +       rcall -1024
14117 +       rcall 1022
14118 +       rcall 2
14119 +       rcall 216
14120 +       rcall -530
14121 +       rcall -972
14122 +       .text
14123 +       .global acall
14124 +acall:
14125 +       acall 0
14126 +       acall 1020
14127 +       acall 512
14128 +       acall 508
14129 +       acall 4
14130 +       acall 356
14131 +       acall 304
14132 +       acall 172
14133 +       .text
14134 +       .global scall
14135 +scall:
14136 +       scall
14137 +       scall
14138 +       scall
14139 +       scall
14140 +       scall
14141 +       scall
14142 +       scall
14143 +       scall
14144 +       .text
14145 +       .global popm
14146 +popm:
14147 +       /* popm with no argument fails currently */
14148 +       popm pc
14149 +       popm r0-r11,pc,r12=-1
14150 +       popm lr
14151 +       popm r0-r11,pc,r12=1
14152 +       popm r0-r3
14153 +       popm r4-r10,pc
14154 +       popm r0-r3,r11,pc,r12=0
14155 +       popm r0-r7,r10-r12,lr
14156 +       .text
14157 +       .global pushm
14158 +pushm:
14159 +       pushm pc
14160 +       pushm r0-r12,lr,pc
14161 +       pushm pc
14162 +       pushm r0-r12,lr
14163 +       pushm r0-r3
14164 +       pushm r8-r10,lr,pc
14165 +       pushm r0-r3,r10
14166 +       pushm r8-r9,r12
14167 +       .text
14168 +       .global popm_n
14169 +popm_n:
14170 +       popm pc
14171 +       popm r0-r11,pc,r12=-1
14172 +       popm lr
14173 +       popm r0-r11,pc,r12=1
14174 +       popm r0-r3
14175 +       popm r4-r10,pc
14176 +       popm r0-r3,r11,pc,r12=0
14177 +       popm r0-r7,r10-r12,lr
14178 +       .text
14179 +       .global pushm_n
14180 +pushm_n:
14181 +       pushm pc
14182 +       pushm r0-r12,lr,pc
14183 +       pushm pc
14184 +       pushm r0-r12,lr
14185 +       pushm r0-r3
14186 +       pushm r8-r10,lr,pc
14187 +       pushm r0-r3,r10
14188 +       pushm r8-r9,r12
14189 +       .text
14190 +       .global csrfcz
14191 +csrfcz:
14192 +       csrfcz 0
14193 +       csrfcz 31
14194 +       csrfcz 16
14195 +       csrfcz 15
14196 +       csrfcz 1
14197 +       csrfcz 5
14198 +       csrfcz 13
14199 +       csrfcz 23
14200 +       .text
14201 +       .global ssrf
14202 +ssrf:
14203 +       ssrf 0
14204 +       ssrf 31
14205 +       ssrf 16
14206 +       ssrf 15
14207 +       ssrf 1
14208 +       ssrf 29
14209 +       ssrf 13
14210 +       ssrf 13
14211 +       .text
14212 +       .global csrf
14213 +csrf:
14214 +       csrf 0
14215 +       csrf 31
14216 +       csrf 16
14217 +       csrf 15
14218 +       csrf 1
14219 +       csrf 10
14220 +       csrf 15
14221 +       csrf 11
14222 +       .text
14223 +       .global rete
14224 +rete:
14225 +       rete
14226 +       .text
14227 +       .global rets
14228 +rets:
14229 +       rets
14230 +       .text
14231 +       .global retd
14232 +retd:
14233 +       retd
14234 +       .text
14235 +       .global retj
14236 +retj:
14237 +       retj
14238 +       .text
14239 +       .global tlbr
14240 +tlbr:
14241 +       tlbr
14242 +       .text
14243 +       .global tlbs
14244 +tlbs:
14245 +       tlbs
14246 +       .text
14247 +       .global tlbw
14248 +tlbw:
14249 +       tlbw
14250 +       .text
14251 +       .global breakpoint
14252 +breakpoint:
14253 +       breakpoint
14254 +       .text
14255 +       .global incjosp
14256 +incjosp:
14257 +       incjosp 1
14258 +       incjosp 2
14259 +       incjosp 3
14260 +       incjosp 4
14261 +       incjosp -4
14262 +       incjosp -3
14263 +       incjosp -2
14264 +       incjosp -1
14265 +       .text
14266 +       .global nop
14267 +nop:
14268 +       nop
14269 +       .text
14270 +       .global popjc
14271 +popjc:
14272 +       popjc
14273 +       .text
14274 +       .global pushjc
14275 +pushjc:
14276 +       pushjc
14277 +       .text
14278 +       .global add2
14279 +add2:
14280 +       add pc,pc,pc<<0
14281 +       add r12,r12,r12<<3
14282 +       add r5,r5,r5<<2
14283 +       add r4,r4,r4<<1
14284 +       add lr,lr,lr<<1
14285 +       add r0,r12,r0<<1
14286 +       add r9,r12,r4<<0
14287 +       add r12,r12,r7<<2
14288 +       .text
14289 +       .global sub2
14290 +sub2:
14291 +       sub pc,pc,pc<<0
14292 +       sub r12,r12,r12<<3
14293 +       sub r5,r5,r5<<2
14294 +       sub r4,r4,r4<<1
14295 +       sub lr,lr,lr<<1
14296 +       sub sp,r3,r4<<0
14297 +       sub r3,r7,r3<<0
14298 +       sub sp,r10,sp<<1
14299 +       .text
14300 +       .global divu
14301 +divu:
14302 +       divu pc,pc,pc
14303 +       divu r12,r12,r12
14304 +       divu r5,r5,r5
14305 +       divu r4,r4,r4
14306 +       divu lr,lr,lr
14307 +       divu sp,r4,pc
14308 +       divu r5,r5,sp
14309 +       divu r10,sp,r0
14310 +       .text
14311 +       .global addhh_w
14312 +addhh_w:
14313 +       addhh.w pc,pc:b,pc:b
14314 +       addhh.w r12,r12:t,r12:t
14315 +       addhh.w r5,r5:t,r5:t
14316 +       addhh.w r4,r4:b,r4:b
14317 +       addhh.w lr,lr:t,lr:t
14318 +       addhh.w r0,r0:b,r3:b
14319 +       addhh.w lr,r12:t,r7:b
14320 +       addhh.w r3,r10:t,r2:b
14321 +       .text
14322 +       .global subhh_w
14323 +subhh_w:
14324 +       subhh.w pc,pc:b,pc:b
14325 +       subhh.w r12,r12:t,r12:t
14326 +       subhh.w r5,r5:t,r5:t
14327 +       subhh.w r4,r4:b,r4:b
14328 +       subhh.w lr,lr:t,lr:t
14329 +       subhh.w r10,r1:t,r7:b
14330 +       subhh.w pc,r10:t,lr:t
14331 +       subhh.w r3,r0:t,r12:b
14332 +       .text
14333 +       .global adc
14334 +adc:
14335 +       adc pc,pc,pc
14336 +       adc r12,r12,r12
14337 +       adc r5,r5,r5
14338 +       adc r4,r4,r4
14339 +       adc lr,lr,lr
14340 +       adc r4,r0,r7
14341 +       adc sp,r4,r3
14342 +       adc r2,r12,r0
14343 +       .text
14344 +       .global sbc
14345 +sbc:
14346 +       sbc pc,pc,pc
14347 +       sbc r12,r12,r12
14348 +       sbc r5,r5,r5
14349 +       sbc r4,r4,r4
14350 +       sbc lr,lr,lr
14351 +       sbc r6,r7,r9
14352 +       sbc r0,r8,r5
14353 +       sbc r1,r0,r4
14354 +       .text
14355 +       .global mul_2
14356 +mul_2:
14357 +       mul pc,pc,pc
14358 +       mul r12,r12,r12
14359 +       mul r5,r5,r5
14360 +       mul r4,r4,r4
14361 +       mul lr,lr,lr
14362 +       mul pc,r0,r0
14363 +       mul r8,pc,lr
14364 +       mul r4,r12,pc
14365 +       .text
14366 +       .global mac
14367 +mac:
14368 +       mac pc,pc,pc
14369 +       mac r12,r12,r12
14370 +       mac r5,r5,r5
14371 +       mac r4,r4,r4
14372 +       mac lr,lr,lr
14373 +       mac r10,r4,r0
14374 +       mac r7,lr,r0
14375 +       mac r2,r9,r12
14376 +       .text
14377 +       .global mulsd
14378 +mulsd:
14379 +       muls.d pc,pc,pc
14380 +       muls.d r12,r12,r12
14381 +       muls.d r5,r5,r5
14382 +       muls.d r4,r4,r4
14383 +       muls.d lr,lr,lr
14384 +       muls.d r2,r8,lr
14385 +       muls.d r4,r0,r11
14386 +       muls.d r5,lr,r6
14387 +       .text
14388 +       .global macsd
14389 +macsd:
14390 +       macs.d r0,pc,pc
14391 +       macs.d r14,r12,r12
14392 +       macs.d r8,r5,r5
14393 +       macs.d r6,r4,r4
14394 +       macs.d r2,lr,lr
14395 +       macs.d r8,r1,r9
14396 +       macs.d r14,r8,r8
14397 +       macs.d r4,r3,r12
14398 +       .text
14399 +       .global mulud
14400 +mulud:
14401 +       mulu.d r0,pc,pc
14402 +       mulu.d r14,r12,r12
14403 +       mulu.d r8,r5,r5
14404 +       mulu.d r6,r4,r4
14405 +       mulu.d r2,lr,lr
14406 +       mulu.d r6,r5,r0
14407 +       mulu.d r4,r6,r1
14408 +       mulu.d r8,r8,r2
14409 +       .text
14410 +       .global macud
14411 +macud:
14412 +       macu.d r0,pc,pc
14413 +       macu.d r14,r12,r12
14414 +       macu.d r8,r5,r5
14415 +       macu.d r6,r4,r4
14416 +       macu.d r2,lr,lr
14417 +       macu.d r6,sp,r11
14418 +       macu.d r2,r4,r8
14419 +       macu.d r6,r10,r9
14420 +       .text
14421 +       .global asr_1
14422 +asr_1:
14423 +       asr pc,pc,pc
14424 +       asr r12,r12,r12
14425 +       asr r5,r5,r5
14426 +       asr r4,r4,r4
14427 +       asr lr,lr,lr
14428 +       asr pc,r6,pc
14429 +       asr r0,r6,r12
14430 +       asr r4,sp,r0
14431 +       .text
14432 +       .global lsl_1
14433 +lsl_1:
14434 +       lsl pc,pc,pc
14435 +       lsl r12,r12,r12
14436 +       lsl r5,r5,r5
14437 +       lsl r4,r4,r4
14438 +       lsl lr,lr,lr
14439 +       lsl lr,r5,lr
14440 +       lsl r5,pc,r3
14441 +       lsl r1,pc,r9
14442 +       .text
14443 +       .global lsr_1
14444 +lsr_1:
14445 +       lsr pc,pc,pc
14446 +       lsr r12,r12,r12
14447 +       lsr r5,r5,r5
14448 +       lsr r4,r4,r4
14449 +       lsr lr,lr,lr
14450 +       lsr r2,r4,r1
14451 +       lsr r5,r1,r6
14452 +       lsr sp,r6,r7
14453 +       .text
14454 +       .global xchg
14455 +xchg:
14456 +       xchg pc,pc,pc
14457 +       xchg r12,r12,r12
14458 +       xchg r5,r5,r5
14459 +       xchg r4,r4,r4
14460 +       xchg lr,lr,lr
14461 +       xchg lr,r4,sp
14462 +       xchg r1,r5,r12
14463 +       xchg lr,r12,r0
14464 +       .text
14465 +       .global max
14466 +max:
14467 +       max pc,pc,pc
14468 +       max r12,r12,r12
14469 +       max r5,r5,r5
14470 +       max r4,r4,r4
14471 +       max lr,lr,lr
14472 +       max lr,r2,sp
14473 +       max r4,r10,r9
14474 +       max lr,r9,lr
14475 +       .text
14476 +       .global min
14477 +min:
14478 +       min pc,pc,pc
14479 +       min r12,r12,r12
14480 +       min r5,r5,r5
14481 +       min r4,r4,r4
14482 +       min lr,lr,lr
14483 +       min r9,r7,r8
14484 +       min sp,r5,r5
14485 +       min r4,r1,r4
14486 +       .text
14487 +       .global addabs
14488 +addabs:
14489 +       addabs pc,pc,pc
14490 +       addabs r12,r12,r12
14491 +       addabs r5,r5,r5
14492 +       addabs r4,r4,r4
14493 +       addabs lr,lr,lr
14494 +       addabs r7,r10,r0
14495 +       addabs r9,r9,r7
14496 +       addabs r2,r8,r12
14497 +       .text
14498 +       .global mulnhh_w
14499 +mulnhh_w:
14500 +       mulnhh.w pc,pc:b,pc:b
14501 +       mulnhh.w r12,r12:t,r12:t
14502 +       mulnhh.w r5,r5:t,r5:t
14503 +       mulnhh.w r4,r4:b,r4:b
14504 +       mulnhh.w lr,lr:t,lr:t
14505 +       mulnhh.w r11,sp:t,r9:b
14506 +       mulnhh.w sp,r4:b,lr:t
14507 +       mulnhh.w r12,r2:t,r11:b
14508 +       .text
14509 +       .global mulnwh_d
14510 +mulnwh_d:
14511 +       mulnwh.d r0,pc,pc:b
14512 +       mulnwh.d r14,r12,r12:t
14513 +       mulnwh.d r8,r5,r5:t
14514 +       mulnwh.d r6,r4,r4:b
14515 +       mulnwh.d r2,lr,lr:t
14516 +       mulnwh.d r14,r3,r2:t
14517 +       mulnwh.d r4,r5,r9:b
14518 +       mulnwh.d r12,r4,r4:t
14519 +       .text
14520 +       .global machh_w
14521 +machh_w:
14522 +       machh.w pc,pc:b,pc:b
14523 +       machh.w r12,r12:t,r12:t
14524 +       machh.w r5,r5:t,r5:t
14525 +       machh.w r4,r4:b,r4:b
14526 +       machh.w lr,lr:t,lr:t
14527 +       machh.w lr,r5:b,r1:t
14528 +       machh.w r9,r6:b,r7:b
14529 +       machh.w r5,lr:t,r12:b
14530 +       .text
14531 +       .global machh_d
14532 +machh_d:
14533 +       machh.d r0,pc:b,pc:b
14534 +       machh.d r14,r12:t,r12:t
14535 +       machh.d r8,r5:t,r5:t
14536 +       machh.d r6,r4:b,r4:b
14537 +       machh.d r2,lr:t,lr:t
14538 +       machh.d r10,r0:b,r8:b
14539 +       machh.d r14,r4:b,r5:t
14540 +       machh.d r8,r0:b,r4:t
14541 +       .text
14542 +       .global macsathh_w
14543 +macsathh_w:
14544 +       macsathh.w pc,pc:b,pc:b
14545 +       macsathh.w r12,r12:t,r12:t
14546 +       macsathh.w r5,r5:t,r5:t
14547 +       macsathh.w r4,r4:b,r4:b
14548 +       macsathh.w lr,lr:t,lr:t
14549 +       macsathh.w r7,r7:t,pc:t
14550 +       macsathh.w r4,r2:t,r4:b
14551 +       macsathh.w r4,r8:t,r3:t
14552 +       .text
14553 +       .global mulhh_w
14554 +mulhh_w:
14555 +       mulhh.w pc,pc:b,pc:b
14556 +       mulhh.w r12,r12:t,r12:t
14557 +       mulhh.w r5,r5:t,r5:t
14558 +       mulhh.w r4,r4:b,r4:b
14559 +       mulhh.w lr,lr:t,lr:t
14560 +       mulhh.w r7,r4:t,r9:b
14561 +       mulhh.w pc,r3:t,r7:t
14562 +       mulhh.w pc,r4:b,r9:t
14563 +       .text
14564 +       .global mulsathh_h
14565 +mulsathh_h:
14566 +       mulsathh.h pc,pc:b,pc:b
14567 +       mulsathh.h r12,r12:t,r12:t
14568 +       mulsathh.h r5,r5:t,r5:t
14569 +       mulsathh.h r4,r4:b,r4:b
14570 +       mulsathh.h lr,lr:t,lr:t
14571 +       mulsathh.h r3,r1:b,sp:b
14572 +       mulsathh.h r11,lr:t,r11:b
14573 +       mulsathh.h r8,r8:b,r11:t
14574 +       .text
14575 +       .global mulsathh_w
14576 +mulsathh_w:
14577 +       mulsathh.w pc,pc:b,pc:b
14578 +       mulsathh.w r12,r12:t,r12:t
14579 +       mulsathh.w r5,r5:t,r5:t
14580 +       mulsathh.w r4,r4:b,r4:b
14581 +       mulsathh.w lr,lr:t,lr:t
14582 +       mulsathh.w lr,r11:t,r6:b
14583 +       mulsathh.w r6,r6:b,r7:t
14584 +       mulsathh.w r10,r2:b,r3:b
14585 +       .text
14586 +       .global mulsatrndhh_h
14587 +mulsatrndhh_h:
14588 +       mulsatrndhh.h pc,pc:b,pc:b
14589 +       mulsatrndhh.h r12,r12:t,r12:t
14590 +       mulsatrndhh.h r5,r5:t,r5:t
14591 +       mulsatrndhh.h r4,r4:b,r4:b
14592 +       mulsatrndhh.h lr,lr:t,lr:t
14593 +       mulsatrndhh.h r11,r6:b,r9:b
14594 +       mulsatrndhh.h r11,r3:b,r8:t
14595 +       mulsatrndhh.h r5,sp:t,r7:t
14596 +       .text
14597 +       .global mulsatrndwh_w
14598 +mulsatrndwh_w:
14599 +       mulsatrndwh.w pc,pc,pc:b
14600 +       mulsatrndwh.w r12,r12,r12:t
14601 +       mulsatrndwh.w r5,r5,r5:t
14602 +       mulsatrndwh.w r4,r4,r4:b
14603 +       mulsatrndwh.w lr,lr,lr:t
14604 +       mulsatrndwh.w r5,r12,r0:b
14605 +       mulsatrndwh.w r7,r10,pc:b
14606 +       mulsatrndwh.w r10,r8,r5:t
14607 +       .text
14608 +       .global macwh_d
14609 +macwh_d:
14610 +       macwh.d r0,pc,pc:b
14611 +       macwh.d r14,r12,r12:t
14612 +       macwh.d r8,r5,r5:t
14613 +       macwh.d r6,r4,r4:b
14614 +       macwh.d r2,lr,lr:t
14615 +       macwh.d r4,r10,r12:t
14616 +       macwh.d r4,r7,sp:b
14617 +       macwh.d r14,r9,r11:b
14618 +       .text
14619 +       .global mulwh_d
14620 +mulwh_d:
14621 +       mulwh.d r0,pc,pc:b
14622 +       mulwh.d r14,r12,r12:t
14623 +       mulwh.d r8,r5,r5:t
14624 +       mulwh.d r6,r4,r4:b
14625 +       mulwh.d r2,lr,lr:t
14626 +       mulwh.d r12,r5,r1:b
14627 +       mulwh.d r0,r1,r3:t
14628 +       mulwh.d r0,r9,r2:b
14629 +       .text
14630 +       .global mulsatwh_w
14631 +mulsatwh_w:
14632 +       mulsatwh.w pc,pc,pc:b
14633 +       mulsatwh.w r12,r12,r12:t
14634 +       mulsatwh.w r5,r5,r5:t
14635 +       mulsatwh.w r4,r4,r4:b
14636 +       mulsatwh.w lr,lr,lr:t
14637 +       mulsatwh.w r11,pc,r10:t
14638 +       mulsatwh.w sp,r12,r9:t
14639 +       mulsatwh.w r0,r3,r2:t
14640 +       .text
14641 +       .global ldw7
14642 +ldw7:
14643 +       ld.w pc,pc[pc:b<<2]
14644 +       ld.w r12,r12[r12:t<<2]
14645 +       ld.w r5,r5[r5:u<<2]
14646 +       ld.w r4,r4[r4:l<<2]
14647 +       ld.w lr,lr[lr:l<<2]
14648 +       ld.w r9,r10[r6:l<<2]
14649 +       ld.w r2,r10[r10:b<<2]
14650 +       ld.w r11,r5[pc:b<<2]
14651 +       .text
14652 +       .global satadd_w
14653 +satadd_w:
14654 +       satadd.w pc,pc,pc
14655 +       satadd.w r12,r12,r12
14656 +       satadd.w r5,r5,r5
14657 +       satadd.w r4,r4,r4
14658 +       satadd.w lr,lr,lr
14659 +       satadd.w r4,r8,r11
14660 +       satadd.w r3,r12,r6
14661 +       satadd.w r3,lr,r9
14662 +       .text
14663 +       .global satsub_w1
14664 +satsub_w1:
14665 +       satsub.w pc,pc,pc
14666 +       satsub.w r12,r12,r12
14667 +       satsub.w r5,r5,r5
14668 +       satsub.w r4,r4,r4
14669 +       satsub.w lr,lr,lr
14670 +       satsub.w r8,sp,r0
14671 +       satsub.w r9,r8,r4
14672 +       satsub.w pc,lr,r2
14673 +       .text
14674 +       .global satadd_h
14675 +satadd_h:
14676 +       satadd.h pc,pc,pc
14677 +       satadd.h r12,r12,r12
14678 +       satadd.h r5,r5,r5
14679 +       satadd.h r4,r4,r4
14680 +       satadd.h lr,lr,lr
14681 +       satadd.h r7,r3,r9
14682 +       satadd.h r1,r0,r2
14683 +       satadd.h r1,r4,lr
14684 +       .text
14685 +       .global satsub_h
14686 +satsub_h:
14687 +       satsub.h pc,pc,pc
14688 +       satsub.h r12,r12,r12
14689 +       satsub.h r5,r5,r5
14690 +       satsub.h r4,r4,r4
14691 +       satsub.h lr,lr,lr
14692 +       satsub.h lr,lr,r3
14693 +       satsub.h r11,r6,r5
14694 +       satsub.h r3,sp,r0
14695 +       .text
14696 +       .global mul3
14697 +mul3:
14698 +       mul pc,pc,0
14699 +       mul r12,r12,-1
14700 +       mul r5,r5,-128
14701 +       mul r4,r4,127
14702 +       mul lr,lr,1
14703 +       mul r12,r2,-7
14704 +       mul r1,pc,95
14705 +       mul r4,r6,19
14706 +       .text
14707 +       .global rsub2
14708 +rsub2:
14709 +       rsub pc,pc,0
14710 +       rsub r12,r12,-1
14711 +       rsub r5,r5,-128
14712 +       rsub r4,r4,127
14713 +       rsub lr,lr,1
14714 +       rsub r9,lr,96
14715 +       rsub r11,r1,56
14716 +       rsub r0,r7,-87
14717 +       .text
14718 +       .global clz
14719 +clz:
14720 +       clz pc,pc
14721 +       clz r12,r12
14722 +       clz r5,r5
14723 +       clz r4,r4
14724 +       clz lr,lr
14725 +       clz r2,r3
14726 +       clz r5,r11
14727 +       clz pc,r3
14728 +       .text
14729 +       .global cpc1
14730 +cpc1:
14731 +       cpc pc,pc
14732 +       cpc r12,r12
14733 +       cpc r5,r5
14734 +       cpc r4,r4
14735 +       cpc lr,lr
14736 +       cpc pc,r4
14737 +       cpc r5,r9
14738 +       cpc r6,r7
14739 +       .text
14740 +       .global asr3
14741 +asr3:
14742 +       asr pc,pc,0
14743 +       asr r12,r12,31
14744 +       asr r5,r5,16
14745 +       asr r4,r4,15
14746 +       asr lr,lr,1
14747 +       asr r4,r11,19
14748 +       asr sp,pc,26
14749 +       asr r11,sp,8
14750 +       .text
14751 +       .global lsl3
14752 +lsl3:
14753 +       lsl pc,pc,0
14754 +       lsl r12,r12,31
14755 +       lsl r5,r5,16
14756 +       lsl r4,r4,15
14757 +       lsl lr,lr,1
14758 +       lsl r8,r10,17
14759 +       lsl r2,lr,3
14760 +       lsl lr,r11,14
14761 +       .text
14762 +       .global lsr3
14763 +lsr3:
14764 +       lsr pc,pc,0
14765 +       lsr r12,r12,31
14766 +       lsr r5,r5,16
14767 +       lsr r4,r4,15
14768 +       lsr lr,lr,1
14769 +       lsr r4,r3,31
14770 +       lsr pc,r9,14
14771 +       lsr r3,r0,6
14772 +/*     .text
14773 +       .global extract_b
14774 +extract_b:
14775 +       extract.b pc,pc:b
14776 +       extract.b r12,r12:t
14777 +       extract.b r5,r5:u
14778 +       extract.b r4,r4:l
14779 +       extract.b lr,lr:l
14780 +       extract.b r2,r5:l
14781 +       extract.b r12,r3:l
14782 +       extract.b sp,r3:l
14783 +       .text
14784 +       .global insert_b
14785 +insert_b:
14786 +       insert.b pc:b,pc
14787 +       insert.b r12:t,r12
14788 +       insert.b r5:u,r5
14789 +       insert.b r4:l,r4
14790 +       insert.b lr:l,lr
14791 +       insert.b r12:u,r3
14792 +       insert.b r10:l,lr
14793 +       insert.b r11:l,r12
14794 +       .text
14795 +       .global extract_h
14796 +extract_h:
14797 +       extract.h pc,pc:b
14798 +       extract.h r12,r12:t
14799 +       extract.h r5,r5:t
14800 +       extract.h r4,r4:b
14801 +       extract.h lr,lr:t
14802 +       extract.h r11,lr:b
14803 +       extract.h r10,r0:b
14804 +       extract.h r11,r12:b
14805 +       .text
14806 +       .global insert_h
14807 +insert_h:
14808 +       insert.h pc:b,pc
14809 +       insert.h r12:t,r12
14810 +       insert.h r5:t,r5
14811 +       insert.h r4:b,r4
14812 +       insert.h lr:t,lr
14813 +       insert.h r12:t,r11
14814 +       insert.h r7:b,r6
14815 +       insert.h r1:t,r11 */
14816 +       .text
14817 +       .global movc1
14818 +movc1:
14819 +       moveq pc,pc
14820 +       moval r12,r12
14821 +       movls r5,r5
14822 +       movpl r4,r4
14823 +       movne lr,lr
14824 +       movne pc,r11
14825 +       movmi r10,r2
14826 +       movls r8,r12
14827 +       .text
14828 +       .global padd_h
14829 +padd_h:
14830 +       padd.h pc,pc,pc
14831 +       padd.h r12,r12,r12
14832 +       padd.h r5,r5,r5
14833 +       padd.h r4,r4,r4
14834 +       padd.h lr,lr,lr
14835 +       padd.h r8,r2,r7
14836 +       padd.h r0,r0,r3
14837 +       padd.h sp,r11,r6
14838 +       .text
14839 +       .global psub_h
14840 +psub_h:
14841 +       psub.h pc,pc,pc
14842 +       psub.h r12,r12,r12
14843 +       psub.h r5,r5,r5
14844 +       psub.h r4,r4,r4
14845 +       psub.h lr,lr,lr
14846 +       psub.h lr,r6,r8
14847 +       psub.h r0,r1,sp
14848 +       psub.h pc,pc,sp
14849 +       .text
14850 +       .global paddx_h
14851 +paddx_h:
14852 +       paddx.h pc,pc,pc
14853 +       paddx.h r12,r12,r12
14854 +       paddx.h r5,r5,r5
14855 +       paddx.h r4,r4,r4
14856 +       paddx.h lr,lr,lr
14857 +       paddx.h pc,pc,r1
14858 +       paddx.h r10,r4,r5
14859 +       paddx.h r5,pc,r2
14860 +       .text
14861 +       .global psubx_h
14862 +psubx_h:
14863 +       psubx.h pc,pc,pc
14864 +       psubx.h r12,r12,r12
14865 +       psubx.h r5,r5,r5
14866 +       psubx.h r4,r4,r4
14867 +       psubx.h lr,lr,lr
14868 +       psubx.h r5,r12,r5
14869 +       psubx.h r3,r8,r3
14870 +       psubx.h r5,r2,r3
14871 +       .text
14872 +       .global padds_sh
14873 +padds_sh:
14874 +       padds.sh pc,pc,pc
14875 +       padds.sh r12,r12,r12
14876 +       padds.sh r5,r5,r5
14877 +       padds.sh r4,r4,r4
14878 +       padds.sh lr,lr,lr
14879 +       padds.sh r9,lr,r2
14880 +       padds.sh r6,r8,r1
14881 +       padds.sh r6,r4,r10
14882 +       .text
14883 +       .global psubs_sh
14884 +psubs_sh:
14885 +       psubs.sh pc,pc,pc
14886 +       psubs.sh r12,r12,r12
14887 +       psubs.sh r5,r5,r5
14888 +       psubs.sh r4,r4,r4
14889 +       psubs.sh lr,lr,lr
14890 +       psubs.sh r6,lr,r11
14891 +       psubs.sh r2,r12,r4
14892 +       psubs.sh r0,r9,r0
14893 +       .text
14894 +       .global paddxs_sh
14895 +paddxs_sh:
14896 +       paddxs.sh pc,pc,pc
14897 +       paddxs.sh r12,r12,r12
14898 +       paddxs.sh r5,r5,r5
14899 +       paddxs.sh r4,r4,r4
14900 +       paddxs.sh lr,lr,lr
14901 +       paddxs.sh r0,r3,r9
14902 +       paddxs.sh pc,r10,r11
14903 +       paddxs.sh pc,r10,pc
14904 +       .text
14905 +       .global psubxs_sh
14906 +psubxs_sh:
14907 +       psubxs.sh pc,pc,pc
14908 +       psubxs.sh r12,r12,r12
14909 +       psubxs.sh r5,r5,r5
14910 +       psubxs.sh r4,r4,r4
14911 +       psubxs.sh lr,lr,lr
14912 +       psubxs.sh r7,r4,r4
14913 +       psubxs.sh r7,r8,r3
14914 +       psubxs.sh pc,r6,r5
14915 +       .text
14916 +       .global padds_uh
14917 +padds_uh:
14918 +       padds.uh pc,pc,pc
14919 +       padds.uh r12,r12,r12
14920 +       padds.uh r5,r5,r5
14921 +       padds.uh r4,r4,r4
14922 +       padds.uh lr,lr,lr
14923 +       padds.uh r12,r11,r7
14924 +       padds.uh r7,r8,lr
14925 +       padds.uh r6,r9,r7
14926 +       .text
14927 +       .global psubs_uh
14928 +psubs_uh:
14929 +       psubs.uh pc,pc,pc
14930 +       psubs.uh r12,r12,r12
14931 +       psubs.uh r5,r5,r5
14932 +       psubs.uh r4,r4,r4
14933 +       psubs.uh lr,lr,lr
14934 +       psubs.uh lr,r10,r6
14935 +       psubs.uh sp,r2,pc
14936 +       psubs.uh r2,r9,r2
14937 +       .text
14938 +       .global paddxs_uh
14939 +paddxs_uh:
14940 +       paddxs.uh pc,pc,pc
14941 +       paddxs.uh r12,r12,r12
14942 +       paddxs.uh r5,r5,r5
14943 +       paddxs.uh r4,r4,r4
14944 +       paddxs.uh lr,lr,lr
14945 +       paddxs.uh r7,r9,r5
14946 +       paddxs.uh r9,r1,r4
14947 +       paddxs.uh r5,r2,r3
14948 +       .text
14949 +       .global psubxs_uh
14950 +psubxs_uh:
14951 +       psubxs.uh pc,pc,pc
14952 +       psubxs.uh r12,r12,r12
14953 +       psubxs.uh r5,r5,r5
14954 +       psubxs.uh r4,r4,r4
14955 +       psubxs.uh lr,lr,lr
14956 +       psubxs.uh sp,r5,sp
14957 +       psubxs.uh sp,r6,r6
14958 +       psubxs.uh r3,r11,r8
14959 +       .text
14960 +       .global paddh_sh
14961 +paddh_sh:
14962 +       paddh.sh pc,pc,pc
14963 +       paddh.sh r12,r12,r12
14964 +       paddh.sh r5,r5,r5
14965 +       paddh.sh r4,r4,r4
14966 +       paddh.sh lr,lr,lr
14967 +       paddh.sh r12,sp,r3
14968 +       paddh.sh pc,r5,r3
14969 +       paddh.sh r8,r8,sp
14970 +       .text
14971 +       .global psubh_sh
14972 +psubh_sh:
14973 +       psubh.sh pc,pc,pc
14974 +       psubh.sh r12,r12,r12
14975 +       psubh.sh r5,r5,r5
14976 +       psubh.sh r4,r4,r4
14977 +       psubh.sh lr,lr,lr
14978 +       psubh.sh r1,r5,r8
14979 +       psubh.sh r7,r3,r6
14980 +       psubh.sh r4,r3,r3
14981 +       .text
14982 +       .global paddxh_sh
14983 +paddxh_sh:
14984 +       paddxh.sh pc,pc,pc
14985 +       paddxh.sh r12,r12,r12
14986 +       paddxh.sh r5,r5,r5
14987 +       paddxh.sh r4,r4,r4
14988 +       paddxh.sh lr,lr,lr
14989 +       paddxh.sh r6,r0,r4
14990 +       paddxh.sh r9,r8,r9
14991 +       paddxh.sh r3,r0,sp
14992 +       .text
14993 +       .global psubxh_sh
14994 +psubxh_sh:
14995 +       psubxh.sh pc,pc,pc
14996 +       psubxh.sh r12,r12,r12
14997 +       psubxh.sh r5,r5,r5
14998 +       psubxh.sh r4,r4,r4
14999 +       psubxh.sh lr,lr,lr
15000 +       psubxh.sh r4,pc,r12
15001 +       psubxh.sh r8,r4,r6
15002 +       psubxh.sh r12,r9,r4
15003 +       .text
15004 +       .global paddsub_h
15005 +paddsub_h:
15006 +       paddsub.h pc,pc:b,pc:b
15007 +       paddsub.h r12,r12:t,r12:t
15008 +       paddsub.h r5,r5:t,r5:t
15009 +       paddsub.h r4,r4:b,r4:b
15010 +       paddsub.h lr,lr:t,lr:t
15011 +       paddsub.h r5,r2:t,lr:b
15012 +       paddsub.h r7,r1:b,r8:b
15013 +       paddsub.h r6,r10:t,r5:t
15014 +       .text
15015 +       .global psubadd_h
15016 +psubadd_h:
15017 +       psubadd.h pc,pc:b,pc:b
15018 +       psubadd.h r12,r12:t,r12:t
15019 +       psubadd.h r5,r5:t,r5:t
15020 +       psubadd.h r4,r4:b,r4:b
15021 +       psubadd.h lr,lr:t,lr:t
15022 +       psubadd.h r9,r11:t,r8:t
15023 +       psubadd.h r10,r7:t,lr:t
15024 +       psubadd.h r6,pc:t,pc:b
15025 +       .text
15026 +       .global paddsubs_sh
15027 +paddsubs_sh:
15028 +       paddsubs.sh pc,pc:b,pc:b
15029 +       paddsubs.sh r12,r12:t,r12:t
15030 +       paddsubs.sh r5,r5:t,r5:t
15031 +       paddsubs.sh r4,r4:b,r4:b
15032 +       paddsubs.sh lr,lr:t,lr:t
15033 +       paddsubs.sh r0,lr:t,r0:b
15034 +       paddsubs.sh r9,r2:t,r4:t
15035 +       paddsubs.sh r12,r9:t,sp:t
15036 +       .text
15037 +       .global psubadds_sh
15038 +psubadds_sh:
15039 +       psubadds.sh pc,pc:b,pc:b
15040 +       psubadds.sh r12,r12:t,r12:t
15041 +       psubadds.sh r5,r5:t,r5:t
15042 +       psubadds.sh r4,r4:b,r4:b
15043 +       psubadds.sh lr,lr:t,lr:t
15044 +       psubadds.sh pc,lr:b,r1:t
15045 +       psubadds.sh r11,r3:b,r12:b
15046 +       psubadds.sh r10,r2:t,r8:t
15047 +       .text
15048 +       .global paddsubs_uh
15049 +paddsubs_uh:
15050 +       paddsubs.uh pc,pc:b,pc:b
15051 +       paddsubs.uh r12,r12:t,r12:t
15052 +       paddsubs.uh r5,r5:t,r5:t
15053 +       paddsubs.uh r4,r4:b,r4:b
15054 +       paddsubs.uh lr,lr:t,lr:t
15055 +       paddsubs.uh r9,r2:b,r3:b
15056 +       paddsubs.uh sp,sp:b,r7:t
15057 +       paddsubs.uh lr,r0:b,r10:t
15058 +       .text
15059 +       .global psubadds_uh
15060 +psubadds_uh:
15061 +       psubadds.uh pc,pc:b,pc:b
15062 +       psubadds.uh r12,r12:t,r12:t
15063 +       psubadds.uh r5,r5:t,r5:t
15064 +       psubadds.uh r4,r4:b,r4:b
15065 +       psubadds.uh lr,lr:t,lr:t
15066 +       psubadds.uh r12,r9:t,pc:t
15067 +       psubadds.uh r8,r6:b,r8:b
15068 +       psubadds.uh r8,r8:b,r4:b
15069 +       .text
15070 +       .global paddsubh_sh
15071 +paddsubh_sh:
15072 +       paddsubh.sh pc,pc:b,pc:b
15073 +       paddsubh.sh r12,r12:t,r12:t
15074 +       paddsubh.sh r5,r5:t,r5:t
15075 +       paddsubh.sh r4,r4:b,r4:b
15076 +       paddsubh.sh lr,lr:t,lr:t
15077 +       paddsubh.sh r8,r9:t,r9:b
15078 +       paddsubh.sh r0,sp:t,r1:t
15079 +       paddsubh.sh r3,r1:b,r0:t
15080 +       .text
15081 +       .global psubaddh_sh
15082 +psubaddh_sh:
15083 +       psubaddh.sh pc,pc:b,pc:b
15084 +       psubaddh.sh r12,r12:t,r12:t
15085 +       psubaddh.sh r5,r5:t,r5:t
15086 +       psubaddh.sh r4,r4:b,r4:b
15087 +       psubaddh.sh lr,lr:t,lr:t
15088 +       psubaddh.sh r7,r3:t,r10:b
15089 +       psubaddh.sh r7,r2:t,r1:t
15090 +       psubaddh.sh r11,r3:b,r6:b
15091 +       .text
15092 +       .global padd_b
15093 +padd_b:
15094 +       padd.b pc,pc,pc
15095 +       padd.b r12,r12,r12
15096 +       padd.b r5,r5,r5
15097 +       padd.b r4,r4,r4
15098 +       padd.b lr,lr,lr
15099 +       padd.b r2,r6,pc
15100 +       padd.b r8,r9,r12
15101 +       padd.b r5,r12,r3
15102 +       .text
15103 +       .global psub_b
15104 +psub_b:
15105 +       psub.b pc,pc,pc
15106 +       psub.b r12,r12,r12
15107 +       psub.b r5,r5,r5
15108 +       psub.b r4,r4,r4
15109 +       psub.b lr,lr,lr
15110 +       psub.b r0,r12,pc
15111 +       psub.b r7,sp,r10
15112 +       psub.b r5,sp,r12
15113 +       .text
15114 +       .global padds_sb
15115 +padds_sb:
15116 +       padds.sb pc,pc,pc
15117 +       padds.sb r12,r12,r12
15118 +       padds.sb r5,r5,r5
15119 +       padds.sb r4,r4,r4
15120 +       padds.sb lr,lr,lr
15121 +       padds.sb sp,r11,r4
15122 +       padds.sb r11,r10,r11
15123 +       padds.sb r5,r12,r6
15124 +       .text
15125 +       .global psubs_sb
15126 +psubs_sb:
15127 +       psubs.sb pc,pc,pc
15128 +       psubs.sb r12,r12,r12
15129 +       psubs.sb r5,r5,r5
15130 +       psubs.sb r4,r4,r4
15131 +       psubs.sb lr,lr,lr
15132 +       psubs.sb r7,r6,r8
15133 +       psubs.sb r12,r10,r9
15134 +       psubs.sb pc,r11,r0
15135 +       .text
15136 +       .global padds_ub
15137 +padds_ub:
15138 +       padds.ub pc,pc,pc
15139 +       padds.ub r12,r12,r12
15140 +       padds.ub r5,r5,r5
15141 +       padds.ub r4,r4,r4
15142 +       padds.ub lr,lr,lr
15143 +       padds.ub r3,r2,r11
15144 +       padds.ub r10,r8,r1
15145 +       padds.ub r11,r8,r10
15146 +       .text
15147 +       .global psubs_ub
15148 +psubs_ub:
15149 +       psubs.ub pc,pc,pc
15150 +       psubs.ub r12,r12,r12
15151 +       psubs.ub r5,r5,r5
15152 +       psubs.ub r4,r4,r4
15153 +       psubs.ub lr,lr,lr
15154 +       psubs.ub r0,r2,r7
15155 +       psubs.ub lr,r5,r3
15156 +       psubs.ub r6,r7,r9
15157 +       .text
15158 +       .global paddh_ub
15159 +paddh_ub:
15160 +       paddh.ub pc,pc,pc
15161 +       paddh.ub r12,r12,r12
15162 +       paddh.ub r5,r5,r5
15163 +       paddh.ub r4,r4,r4
15164 +       paddh.ub lr,lr,lr
15165 +       paddh.ub lr,r1,r0
15166 +       paddh.ub r2,r7,r7
15167 +       paddh.ub r2,r1,r2
15168 +       .text
15169 +       .global psubh_ub
15170 +psubh_ub:
15171 +       psubh.ub pc,pc,pc
15172 +       psubh.ub r12,r12,r12
15173 +       psubh.ub r5,r5,r5
15174 +       psubh.ub r4,r4,r4
15175 +       psubh.ub lr,lr,lr
15176 +       psubh.ub r0,r1,r6
15177 +       psubh.ub r4,lr,r10
15178 +       psubh.ub r9,r8,r1
15179 +       .text
15180 +       .global pmax_ub
15181 +pmax_ub:
15182 +       pmax.ub pc,pc,pc
15183 +       pmax.ub r12,r12,r12
15184 +       pmax.ub r5,r5,r5
15185 +       pmax.ub r4,r4,r4
15186 +       pmax.ub lr,lr,lr
15187 +       pmax.ub pc,r2,r11
15188 +       pmax.ub r12,r1,r1
15189 +       pmax.ub r5,r2,r0
15190 +       .text
15191 +       .global pmax_sh
15192 +pmax_sh:
15193 +       pmax.sh pc,pc,pc
15194 +       pmax.sh r12,r12,r12
15195 +       pmax.sh r5,r5,r5
15196 +       pmax.sh r4,r4,r4
15197 +       pmax.sh lr,lr,lr
15198 +       pmax.sh lr,r6,r12
15199 +       pmax.sh r2,pc,r5
15200 +       pmax.sh pc,r2,r7
15201 +       .text
15202 +       .global pmin_ub
15203 +pmin_ub:
15204 +       pmin.ub pc,pc,pc
15205 +       pmin.ub r12,r12,r12
15206 +       pmin.ub r5,r5,r5
15207 +       pmin.ub r4,r4,r4
15208 +       pmin.ub lr,lr,lr
15209 +       pmin.ub r8,r1,r5
15210 +       pmin.ub r1,r8,r3
15211 +       pmin.ub r0,r2,r7
15212 +       .text
15213 +       .global pmin_sh
15214 +pmin_sh:
15215 +       pmin.sh pc,pc,pc
15216 +       pmin.sh r12,r12,r12
15217 +       pmin.sh r5,r5,r5
15218 +       pmin.sh r4,r4,r4
15219 +       pmin.sh lr,lr,lr
15220 +       pmin.sh r8,r4,r10
15221 +       pmin.sh lr,r10,r12
15222 +       pmin.sh r2,r6,r2
15223 +       .text
15224 +       .global pavg_ub
15225 +pavg_ub:
15226 +       pavg.ub pc,pc,pc
15227 +       pavg.ub r12,r12,r12
15228 +       pavg.ub r5,r5,r5
15229 +       pavg.ub r4,r4,r4
15230 +       pavg.ub lr,lr,lr
15231 +       pavg.ub r0,r1,r6
15232 +       pavg.ub r8,r3,r6
15233 +       pavg.ub pc,r12,r10
15234 +       .text
15235 +       .global pavg_sh
15236 +pavg_sh:
15237 +       pavg.sh pc,pc,pc
15238 +       pavg.sh r12,r12,r12
15239 +       pavg.sh r5,r5,r5
15240 +       pavg.sh r4,r4,r4
15241 +       pavg.sh lr,lr,lr
15242 +       pavg.sh r9,pc,sp
15243 +       pavg.sh pc,sp,r3
15244 +       pavg.sh r6,r1,r9
15245 +       .text
15246 +       .global pabs_sb
15247 +pabs_sb:
15248 +       pabs.sb pc,pc
15249 +       pabs.sb r12,r12
15250 +       pabs.sb r5,r5
15251 +       pabs.sb r4,r4
15252 +       pabs.sb lr,lr
15253 +       pabs.sb r11,r6
15254 +       pabs.sb lr,r9
15255 +       pabs.sb sp,r7
15256 +       .text
15257 +       .global pabs_sh
15258 +pabs_sh:
15259 +       pabs.sh pc,pc
15260 +       pabs.sh r12,r12
15261 +       pabs.sh r5,r5
15262 +       pabs.sh r4,r4
15263 +       pabs.sh lr,lr
15264 +       pabs.sh pc,r3
15265 +       pabs.sh r5,r7
15266 +       pabs.sh r4,r0
15267 +       .text
15268 +       .global psad
15269 +psad:
15270 +       psad pc,pc,pc
15271 +       psad r12,r12,r12
15272 +       psad r5,r5,r5
15273 +       psad r4,r4,r4
15274 +       psad lr,lr,lr
15275 +       psad r9,r11,r11
15276 +       psad lr,r4,sp
15277 +       psad lr,r4,r5
15278 +       .text
15279 +       .global pasr_b
15280 +pasr_b:
15281 +       pasr.b pc,pc,0
15282 +       pasr.b r12,r12,7
15283 +       pasr.b r5,r5,4
15284 +       pasr.b r4,r4,3
15285 +       pasr.b lr,lr,1
15286 +       pasr.b pc,r7,1
15287 +       pasr.b sp,lr,6
15288 +       pasr.b sp,r3,2
15289 +       .text
15290 +       .global plsl_b
15291 +plsl_b:
15292 +       plsl.b pc,pc,0
15293 +       plsl.b r12,r12,7
15294 +       plsl.b r5,r5,4
15295 +       plsl.b r4,r4,3
15296 +       plsl.b lr,lr,1
15297 +       plsl.b r2,r11,4
15298 +       plsl.b r8,r5,7
15299 +       plsl.b pc,r0,2
15300 +       .text
15301 +       .global plsr_b
15302 +plsr_b:
15303 +       plsr.b pc,pc,0
15304 +       plsr.b r12,r12,7
15305 +       plsr.b r5,r5,4
15306 +       plsr.b r4,r4,3
15307 +       plsr.b lr,lr,1
15308 +       plsr.b r12,r1,2
15309 +       plsr.b r6,pc,7
15310 +       plsr.b r12,r11,2
15311 +       .text
15312 +       .global pasr_h
15313 +pasr_h:
15314 +       pasr.h pc,pc,0
15315 +       pasr.h r12,r12,15
15316 +       pasr.h r5,r5,8
15317 +       pasr.h r4,r4,7
15318 +       pasr.h lr,lr,1
15319 +       pasr.h r0,r11,10
15320 +       pasr.h r4,r6,8
15321 +       pasr.h r6,r2,4
15322 +       .text
15323 +       .global plsl_h
15324 +plsl_h:
15325 +       plsl.h pc,pc,0
15326 +       plsl.h r12,r12,15
15327 +       plsl.h r5,r5,8
15328 +       plsl.h r4,r4,7
15329 +       plsl.h lr,lr,1
15330 +       plsl.h r5,r10,9
15331 +       plsl.h sp,lr,8
15332 +       plsl.h r0,lr,7
15333 +       .text
15334 +       .global plsr_h
15335 +plsr_h:
15336 +       plsr.h pc,pc,0
15337 +       plsr.h r12,r12,15
15338 +       plsr.h r5,r5,8
15339 +       plsr.h r4,r4,7
15340 +       plsr.h lr,lr,1
15341 +       plsr.h r11,r0,15
15342 +       plsr.h lr,r3,3
15343 +       plsr.h r8,lr,10
15344 +       .text
15345 +       .global packw_sh
15346 +packw_sh:
15347 +       packw.sh pc,pc,pc
15348 +       packw.sh r12,r12,r12
15349 +       packw.sh r5,r5,r5
15350 +       packw.sh r4,r4,r4
15351 +       packw.sh lr,lr,lr
15352 +       packw.sh sp,r11,r10
15353 +       packw.sh r8,r2,r12
15354 +       packw.sh r8,r1,r5
15355 +       .text
15356 +       .global punpckub_h
15357 +punpckub_h:
15358 +       punpckub.h pc,pc:b
15359 +       punpckub.h r12,r12:t
15360 +       punpckub.h r5,r5:t
15361 +       punpckub.h r4,r4:b
15362 +       punpckub.h lr,lr:t
15363 +       punpckub.h r6,r1:t
15364 +       punpckub.h lr,r5:b
15365 +       punpckub.h lr,r2:t
15366 +       .text
15367 +       .global punpcksb_h
15368 +punpcksb_h:
15369 +       punpcksb.h pc,pc:b
15370 +       punpcksb.h r12,r12:t
15371 +       punpcksb.h r5,r5:t
15372 +       punpcksb.h r4,r4:b
15373 +       punpcksb.h lr,lr:t
15374 +       punpcksb.h r4,r7:t
15375 +       punpcksb.h r6,lr:b
15376 +       punpcksb.h r12,r12:t
15377 +       .text
15378 +       .global packsh_ub
15379 +packsh_ub:
15380 +       packsh.ub pc,pc,pc
15381 +       packsh.ub r12,r12,r12
15382 +       packsh.ub r5,r5,r5
15383 +       packsh.ub r4,r4,r4
15384 +       packsh.ub lr,lr,lr
15385 +       packsh.ub r3,r6,r3
15386 +       packsh.ub r8,r0,r3
15387 +       packsh.ub r9,r3,lr
15388 +       .text
15389 +       .global packsh_sb
15390 +packsh_sb:
15391 +       packsh.sb pc,pc,pc
15392 +       packsh.sb r12,r12,r12
15393 +       packsh.sb r5,r5,r5
15394 +       packsh.sb r4,r4,r4
15395 +       packsh.sb lr,lr,lr
15396 +       packsh.sb r6,r8,r1
15397 +       packsh.sb lr,r9,r8
15398 +       packsh.sb sp,r6,r6
15399 +       .text
15400 +       .global andl
15401 +andl:
15402 +       andl pc,0
15403 +       andl r12,65535
15404 +       andl r5,32768
15405 +       andl r4,32767
15406 +       andl lr,1
15407 +       andl pc,23128
15408 +       andl r8,47262
15409 +       andl r7,13719
15410 +       .text
15411 +       .global andl_coh
15412 +andl_coh:
15413 +       andl pc,0,COH
15414 +       andl r12,65535,COH
15415 +       andl r5,32768,COH
15416 +       andl r4,32767,COH
15417 +       andl lr,1,COH
15418 +       andl r6,22753,COH
15419 +       andl r0,40653,COH
15420 +       andl r4,48580,COH
15421 +       .text
15422 +       .global andh
15423 +andh:
15424 +       andh pc,0
15425 +       andh r12,65535
15426 +       andh r5,32768
15427 +       andh r4,32767
15428 +       andh lr,1
15429 +       andh r12,52312
15430 +       andh r3,8675
15431 +       andh r2,42987
15432 +       .text
15433 +       .global andh_coh
15434 +andh_coh:
15435 +       andh pc,0,COH
15436 +       andh r12,65535,COH
15437 +       andh r5,32768,COH
15438 +       andh r4,32767,COH
15439 +       andh lr,1,COH
15440 +       andh r11,34317,COH
15441 +       andh r8,52982,COH
15442 +       andh r10,23683,COH
15443 +       .text
15444 +       .global orl
15445 +orl:
15446 +       orl pc,0
15447 +       orl r12,65535
15448 +       orl r5,32768
15449 +       orl r4,32767
15450 +       orl lr,1
15451 +       orl sp,16766
15452 +       orl r0,21181
15453 +       orl pc,44103
15454 +       .text
15455 +       .global orh
15456 +orh:
15457 +       orh pc,0
15458 +       orh r12,65535
15459 +       orh r5,32768
15460 +       orh r4,32767
15461 +       orh lr,1
15462 +       orh r8,28285
15463 +       orh r12,30492
15464 +       orh r1,59930
15465 +       .text
15466 +       .global eorl
15467 +eorl:
15468 +       eorl pc,0
15469 +       eorl r12,65535
15470 +       eorl r5,32768
15471 +       eorl r4,32767
15472 +       eorl lr,1
15473 +       eorl r4,51129
15474 +       eorl r6,64477
15475 +       eorl r1,20913
15476 +       .text
15477 +       .global eorh
15478 +eorh:
15479 +       eorh pc,0
15480 +       eorh r12,65535
15481 +       eorh r5,32768
15482 +       eorh r4,32767
15483 +       eorh lr,1
15484 +       eorh r0,11732
15485 +       eorh r10,38069
15486 +       eorh r9,57130
15487 +       .text
15488 +       .global mcall
15489 +mcall:
15490 +       mcall pc[0]
15491 +       mcall r12[-4]
15492 +       mcall r5[-131072]
15493 +       mcall r4[131068]
15494 +       mcall lr[4]
15495 +       mcall sp[61180]
15496 +       mcall r4[-35000]
15497 +       mcall r0[9924]
15498 +       .text
15499 +       .global pref
15500 +pref:
15501 +       pref pc[0]
15502 +       pref r12[-1]
15503 +       pref r5[-32768]
15504 +       pref r4[32767]
15505 +       pref lr[1]
15506 +       pref r7[7748]
15507 +       pref r7[-7699]
15508 +       pref r2[-25892]
15509 +       .text
15510 +       .global cache
15511 +cache:
15512 +       cache pc[0],0
15513 +       cache r12[-1],31
15514 +       cache r5[-1024],16
15515 +       cache r4[1023],15
15516 +       cache lr[1],1
15517 +       cache r3[-964],17
15518 +       cache r4[-375],22
15519 +       cache r3[-888],17
15520 +       .text
15521 +       .global sub4
15522 +sub4:
15523 +       sub pc,0
15524 +       sub r12,-1
15525 +       sub r5,-1048576
15526 +       sub r4,1048575
15527 +       sub lr,1
15528 +       sub r2,-619156
15529 +       sub lr,461517
15530 +       sub r8,-185051
15531 +       .text
15532 +       .global cp3
15533 +cp3:
15534 +       cp pc,0
15535 +       cp r12,-1
15536 +       cp r5,-1048576
15537 +       cp r4,1048575
15538 +       cp lr,1
15539 +       cp r1,124078
15540 +       cp r0,-378909
15541 +       cp r4,-243180
15542 +       .text
15543 +       .global mov2
15544 +mov2:
15545 +       mov pc,0
15546 +       mov r12,-1
15547 +       mov r5,-1048576
15548 +       mov r4,1048575
15549 +       mov lr,1
15550 +       mov r5,-317021
15551 +       mov sp,-749164
15552 +       mov r5,940179
15553 +       .text
15554 +       .global brc2
15555 +brc2:
15556 +       breq 0
15557 +       bral -2
15558 +       brls -2097152
15559 +       brpl 2097150
15560 +       brne 2
15561 +       brhi -1796966
15562 +       brqs 1321368
15563 +       brls -577434
15564 +       .text
15565 +       .global rcall2
15566 +rcall2:
15567 +       rcall 0
15568 +       rcall -2
15569 +       rcall -2097152
15570 +       rcall 2097150
15571 +       rcall 2
15572 +       rcall 496820
15573 +       rcall 1085092
15574 +       rcall -1058
15575 +       .text
15576 +       .global sub5
15577 +sub5:
15578 +       sub pc,pc,0
15579 +       sub r12,r12,-1
15580 +       sub r5,r5,-32768
15581 +       sub r4,r4,32767
15582 +       sub lr,lr,1
15583 +       sub pc,pc,-12744
15584 +       sub r7,r7,-27365
15585 +       sub r2,r9,-17358
15586 +       .text
15587 +       .global satsub_w2
15588 +satsub_w2:
15589 +       satsub.w pc,pc,0
15590 +       satsub.w r12,r12,-1
15591 +       satsub.w r5,r5,-32768
15592 +       satsub.w r4,r4,32767
15593 +       satsub.w lr,lr,1
15594 +       satsub.w r2,lr,-2007
15595 +       satsub.w r7,r12,-784
15596 +       satsub.w r4,r7,23180
15597 +       .text
15598 +       .global ld_d4
15599 +ld_d4:
15600 +       ld.d r0,pc[0]
15601 +       ld.d r14,r12[-1]
15602 +       ld.d r8,r5[-32768]
15603 +       ld.d r6,r4[32767]
15604 +       ld.d r2,lr[1]
15605 +       ld.d r14,r11[14784]
15606 +       ld.d r6,r9[-18905]
15607 +       ld.d r2,r3[-6355]
15608 +       .text
15609 +       .global ld_w4
15610 +ld_w4:
15611 +       ld.w pc,pc[0]
15612 +       ld.w r12,r12[-1]
15613 +       ld.w r5,r5[-32768]
15614 +       ld.w r4,r4[32767]
15615 +       ld.w lr,lr[1]
15616 +       ld.w r0,r12[-22133]
15617 +       ld.w sp,pc[-20521]
15618 +       /* ld.w r3,r5[29035] */
15619 +       nop
15620 +       .text
15621 +       .global ld_sh4
15622 +ld_sh4:
15623 +       ld.sh pc,pc[0]
15624 +       ld.sh r12,r12[-1]
15625 +       ld.sh r5,r5[-32768]
15626 +       ld.sh r4,r4[32767]
15627 +       ld.sh lr,lr[1]
15628 +       ld.sh r6,r10[30930]
15629 +       ld.sh r6,r10[21973]
15630 +       /* ld.sh r11,r10[-2058] */
15631 +       nop
15632 +       .text
15633 +       .global ld_uh4
15634 +ld_uh4:
15635 +       ld.uh pc,pc[0]
15636 +       ld.uh r12,r12[-1]
15637 +       ld.uh r5,r5[-32768]
15638 +       ld.uh r4,r4[32767]
15639 +       ld.uh lr,lr[1]
15640 +       ld.uh r1,r9[-13354]
15641 +       ld.uh lr,r11[21337]
15642 +       /* ld.uh r2,lr[-25370] */
15643 +       nop
15644 +       .text
15645 +       .global ld_sb1
15646 +ld_sb1:
15647 +       ld.sb pc,pc[0]
15648 +       ld.sb r12,r12[-1]
15649 +       ld.sb r5,r5[-32768]
15650 +       ld.sb r4,r4[32767]
15651 +       ld.sb lr,lr[1]
15652 +       ld.sb r7,sp[-28663]
15653 +       ld.sb r2,r1[-5879]
15654 +       ld.sb r12,r3[18734]
15655 +       .text
15656 +       .global ld_ub4
15657 +ld_ub4:
15658 +       ld.ub pc,pc[0]
15659 +       ld.ub r12,r12[-1]
15660 +       ld.ub r5,r5[-32768]
15661 +       ld.ub r4,r4[32767]
15662 +       ld.ub lr,lr[1]
15663 +       ld.ub pc,r4[8277]
15664 +       ld.ub r5,r12[19172]
15665 +       ld.ub r10,lr[26347]
15666 +       .text
15667 +       .global st_d4
15668 +st_d4:
15669 +       st.d pc[0],r0
15670 +       st.d r12[-1],r14
15671 +       st.d r5[-32768],r8
15672 +       st.d r4[32767],r6
15673 +       st.d lr[1],r2
15674 +       st.d r5[13200],r10
15675 +       st.d r5[9352],r10
15676 +       st.d r5[32373],r4
15677 +       .text
15678 +       .global st_w4
15679 +st_w4:
15680 +       st.w pc[0],pc
15681 +       st.w r12[-1],r12
15682 +       st.w r5[-32768],r5
15683 +       st.w r4[32767],r4
15684 +       st.w lr[1],lr
15685 +       st.w sp[6136],r7
15686 +       st.w r6[27087],r12
15687 +       /* st.w r3[20143],r7 */
15688 +       nop
15689 +       .text
15690 +       .global st_h4
15691 +st_h4:
15692 +       st.h pc[0],pc
15693 +       st.h r12[-1],r12
15694 +       st.h r5[-32768],r5
15695 +       st.h r4[32767],r4
15696 +       st.h lr[1],lr
15697 +       st.h r4[-9962],r7
15698 +       st.h r9[-16250],r3
15699 +       /* st.h r8[-28810],r7 */
15700 +       nop
15701 +       .text
15702 +       .global st_b4
15703 +st_b4:
15704 +       st.b pc[0],pc
15705 +       st.b r12[-1],r12
15706 +       st.b r5[-32768],r5
15707 +       st.b r4[32767],r4
15708 +       st.b lr[1],lr
15709 +       st.b r12[30102],r6
15710 +       st.b r5[28977],r1
15711 +       st.b r0[5470],r1
15712 +       .text
15713 +       .global mfsr
15714 +mfsr:
15715 +       mfsr pc,0
15716 +       mfsr r12,1020
15717 +       mfsr r5,512
15718 +       mfsr r4,508
15719 +       mfsr lr,4
15720 +       mfsr r2,696
15721 +       mfsr r4,260
15722 +       mfsr r10,1016
15723 +       .text
15724 +       .global mtsr
15725 +mtsr:
15726 +       mtsr 0,pc
15727 +       mtsr 1020,r12
15728 +       mtsr 512,r5
15729 +       mtsr 508,r4
15730 +       mtsr 4,lr
15731 +       mtsr 224,r10
15732 +       mtsr 836,r12
15733 +       mtsr 304,r9
15734 +       .text
15735 +       .global mfdr
15736 +mfdr:
15737 +       mfdr pc,0
15738 +       mfdr r12,1020
15739 +       mfdr r5,512
15740 +       mfdr r4,508
15741 +       mfdr lr,4
15742 +       mfdr r6,932
15743 +       mfdr r5,36
15744 +       mfdr r9,300
15745 +       .text
15746 +       .global mtdr
15747 +mtdr:
15748 +       mtdr 0,pc
15749 +       mtdr 1020,r12
15750 +       mtdr 512,r5
15751 +       mtdr 508,r4
15752 +       mtdr 4,lr
15753 +       mtdr 180,r8
15754 +       mtdr 720,r10
15755 +       mtdr 408,lr
15756 +       .text
15757 +       .global sleep
15758 +sleep:
15759 +       sleep 0
15760 +       sleep 255
15761 +       sleep 128
15762 +       sleep 127
15763 +       sleep 1
15764 +       sleep 254
15765 +       sleep 15
15766 +       sleep 43
15767 +       .text
15768 +       .global sync
15769 +sync:
15770 +       sync 0
15771 +       sync 255
15772 +       sync 128
15773 +       sync 127
15774 +       sync 1
15775 +       sync 166
15776 +       sync 230
15777 +       sync 180
15778 +       .text
15779 +       .global bld
15780 +bld:
15781 +       bld pc,0
15782 +       bld r12,31
15783 +       bld r5,16
15784 +       bld r4,15
15785 +       bld lr,1
15786 +       bld r9,15
15787 +       bld r0,4
15788 +       bld lr,26
15789 +       .text
15790 +       .global bst
15791 +bst:
15792 +       bst pc,0
15793 +       bst r12,31
15794 +       bst r5,16
15795 +       bst r4,15
15796 +       bst lr,1
15797 +       bst r10,28
15798 +       bst r0,3
15799 +       bst sp,2
15800 +       .text
15801 +       .global sats
15802 +sats:
15803 +       sats pc>>0,0
15804 +       sats r12>>31,31
15805 +       sats r5>>16,16
15806 +       sats r4>>15,15
15807 +       sats lr>>1,1
15808 +       sats r10>>3,19
15809 +       sats r10>>2,26
15810 +       sats r1>>20,1
15811 +       .text
15812 +       .global satu
15813 +satu:
15814 +       satu pc>>0,0
15815 +       satu r12>>31,31
15816 +       satu r5>>16,16
15817 +       satu r4>>15,15
15818 +       satu lr>>1,1
15819 +       satu pc>>5,7
15820 +       satu r7>>5,5
15821 +       satu r2>>26,19
15822 +       .text
15823 +       .global satrnds
15824 +satrnds:
15825 +       satrnds pc>>0,0
15826 +       satrnds r12>>31,31
15827 +       satrnds r5>>16,16
15828 +       satrnds r4>>15,15
15829 +       satrnds lr>>1,1
15830 +       satrnds r0>>21,19
15831 +       satrnds sp>>0,2
15832 +       satrnds r7>>6,29
15833 +       .text
15834 +       .global satrndu
15835 +satrndu:
15836 +       satrndu pc>>0,0
15837 +       satrndu r12>>31,31
15838 +       satrndu r5>>16,16
15839 +       satrndu r4>>15,15
15840 +       satrndu lr>>1,1
15841 +       satrndu r12>>0,26
15842 +       satrndu r4>>21,3
15843 +       satrndu r10>>3,16
15844 +       .text
15845 +       .global subfc
15846 +subfc:
15847 +       subfeq pc,0
15848 +       subfal r12,-1
15849 +       subfls r5,-128
15850 +       subfpl r4,127
15851 +       subfne lr,1
15852 +       subfls r10,8
15853 +       subfvc r11,99
15854 +       subfvs r2,73
15855 +       .text
15856 +       .global subc
15857 +subc:
15858 +       subeq pc,0
15859 +       subal r12,-1
15860 +       subls r5,-128
15861 +       subpl r4,127
15862 +       subne lr,1
15863 +       subls r12,118
15864 +       subvc lr,-12
15865 +       submi r4,-13
15866 +       .text
15867 +       .global movc2
15868 +movc2:
15869 +       moveq pc,0
15870 +       moval r12,-1
15871 +       movls r5,-128
15872 +       movpl r4,127
15873 +       movne lr,1
15874 +       movlt r3,-122
15875 +       movvc r8,2
15876 +       movne r7,-111
15877 +       .text
15878 +       .global cp_b
15879 +cp_b:
15880 +       cp.b pc,r0
15881 +       cp.b r0,pc
15882 +       cp.b r7,r8
15883 +       cp.b r8,r7
15884 +       .text
15885 +       .global cp_h
15886 +cp_h:
15887 +       cp.h pc,r0
15888 +       cp.h r0,pc
15889 +       cp.h r7,r8
15890 +       cp.h r8,r7
15891 +       .text
15892 +       .global ldm
15893 +ldm:
15894 +       ldm pc,r1-r6
15895 +       ldm r12,r0-r15
15896 +       ldm r5,r15
15897 +       ldm r4,r0-r14
15898 +       ldm lr,r0
15899 +       ldm r9,r1,r5,r14
15900 +       ldm r11,r2-r3,r5-r8,r15
15901 +       ldm r6,r0,r3,r9,r13,r15
15902 +       .text
15903 +       .global ldm_pu
15904 +ldm_pu:
15905 +       ldm pc++,r6-r9
15906 +       ldm r12++,r0-r15
15907 +       ldm r5++,r15
15908 +       ldm r4++,r0-r14
15909 +       ldm lr++,r0
15910 +       ldm r12++,r3-r5,r8,r10,r12,r14-r15
15911 +       ldm r10++,r2,r4-r6,r14-r15
15912 +       ldm r6++,r1,r3-r4,r9-r14
15913 +       .text
15914 +       .global ldmts
15915 +ldmts:
15916 +       ldmts pc,r7-r8
15917 +       ldmts r12,r0-r15
15918 +       ldmts r5,r15
15919 +       ldmts r4,r0-r14
15920 +       ldmts lr,r0
15921 +       ldmts r0,r1-r2,r11-r12
15922 +       ldmts lr,r0-r2,r4,r7-r8,r13-r14
15923 +       ldmts r12,r0-r1,r3-r5,r9,r14-r15
15924 +       .text
15925 +       .global ldmts_pu
15926 +ldmts_pu:
15927 +       ldmts pc++,r9
15928 +       ldmts r12++,r0-r15
15929 +       ldmts r5++,r15
15930 +       ldmts r4++,r0-r14
15931 +       ldmts lr++,r0
15932 +       ldmts sp++,r0,r2-r5,r7,r9,r11
15933 +       ldmts r5++,r1-r3,r7,r10-r11
15934 +       ldmts r8++,r2-r4,r7-r8,r13,r15
15935 +       .text
15936 +       .global stm
15937 +stm:
15938 +       stm pc,r7
15939 +       stm r12,r0-r15
15940 +       stm r5,r15
15941 +       stm r4,r0-r14
15942 +       stm lr,r0
15943 +       stm sp,r2-r3,r5,r8,r11,r14
15944 +       stm r4,r0-r4,r6,r10-r11,r14
15945 +       stm r9,r1,r5,r9,r12-r15
15946 +       .text
15947 +       .global stm_pu
15948 +stm_pu:
15949 +       stm --pc,r4-r6
15950 +       stm --r12,r0-r15
15951 +       stm --r5,r15
15952 +       stm --r4,r0-r14
15953 +       stm --lr,r0
15954 +       stm --r11,r0,r4-r9,r11-r15
15955 +       stm --r11,r0,r3,r9-r10,r12,r14
15956 +       stm --r6,r2,r8-r9,r13-r14
15957 +       .text
15958 +       .global stmts
15959 +stmts:
15960 +       stmts pc,r8
15961 +       stmts r12,r0-r15
15962 +       stmts r5,r15
15963 +       stmts r4,r0-r14
15964 +       stmts lr,r0
15965 +       stmts r1,r0-r1,r3-r4,r6,r9-r10,r14-r15
15966 +       stmts r3,r0,r6-r8,r10-r12
15967 +       stmts r11,r0,r4,r6-r7,r9-r10,r12,r14-r15
15968 +       .text
15969 +       .global stmts_pu
15970 +stmts_pu:
15971 +       stmts --pc,r6-r8
15972 +       stmts --r12,r0-r15
15973 +       stmts --r5,r15
15974 +       stmts --r4,r0-r14
15975 +       stmts --lr,r0
15976 +       stmts --r2,r0,r3-r4,r9-r10,r12-r13
15977 +       stmts --r3,r0-r1,r14-r15
15978 +       stmts --r0,r0,r2-r6,r10,r14
15979 +       .text
15980 +       .global ldins_h
15981 +ldins_h:
15982 +       ldins.h pc:b,pc[0]
15983 +       ldins.h r12:t,r12[-2]
15984 +       ldins.h r5:t,r5[-4096]
15985 +       ldins.h r4:b,r4[4094]
15986 +       ldins.h lr:t,lr[2]
15987 +       ldins.h r0:t,lr[1930]
15988 +       ldins.h r3:b,r7[-534]
15989 +       ldins.h r2:b,r12[-2252]
15990 +       .text
15991 +       .global ldins_b
15992 +ldins_b:
15993 +       ldins.b pc:b,pc[0]
15994 +       ldins.b r12:t,r12[-1]
15995 +       ldins.b r5:u,r5[-2048]
15996 +       ldins.b r4:l,r4[2047]
15997 +       ldins.b lr:l,lr[1]
15998 +       ldins.b r6:t,r4[-662]
15999 +       ldins.b r5:b,r1[-151]
16000 +       ldins.b r10:t,r11[-1923]
16001 +       .text
16002 +       .global ldswp_sh
16003 +ldswp_sh:
16004 +       ldswp.sh pc,pc[0]
16005 +       ldswp.sh r12,r12[-2]
16006 +       ldswp.sh r5,r5[-4096]
16007 +       ldswp.sh r4,r4[4094]
16008 +       ldswp.sh lr,lr[2]
16009 +       ldswp.sh r9,r10[3848]
16010 +       ldswp.sh r4,r12[-2040]
16011 +       ldswp.sh r10,r2[3088]
16012 +       .text
16013 +       .global ldswp_uh
16014 +ldswp_uh:
16015 +       ldswp.uh pc,pc[0]
16016 +       ldswp.uh r12,r12[-2]
16017 +       ldswp.uh r5,r5[-4096]
16018 +       ldswp.uh r4,r4[4094]
16019 +       ldswp.uh lr,lr[2]
16020 +       ldswp.uh r4,r9[3724]
16021 +       ldswp.uh lr,sp[-1672]
16022 +       ldswp.uh r8,r12[-3846]
16023 +       .text
16024 +       .global ldswp_w
16025 +ldswp_w:
16026 +       ldswp.w pc,pc[0]
16027 +       ldswp.w r12,r12[-4]
16028 +       ldswp.w r5,r5[-8192]
16029 +       ldswp.w r4,r4[8188]
16030 +       ldswp.w lr,lr[4]
16031 +       ldswp.w sp,r7[1860]
16032 +       ldswp.w pc,r5[-3324]
16033 +       ldswp.w r12,r10[-3296]
16034 +       .text
16035 +       .global stswp_h
16036 +stswp_h:
16037 +       stswp.h pc[0],pc
16038 +       stswp.h r12[-2],r12
16039 +       stswp.h r5[-4096],r5
16040 +       stswp.h r4[4094],r4
16041 +       stswp.h lr[2],lr
16042 +       stswp.h r7[64],r10
16043 +       stswp.h r10[3024],r2
16044 +       stswp.h r0[-2328],r10
16045 +       .text
16046 +       .global stswp_w
16047 +stswp_w:
16048 +       stswp.w pc[0],pc
16049 +       stswp.w r12[-4],r12
16050 +       stswp.w r5[-8192],r5
16051 +       stswp.w r4[8188],r4
16052 +       stswp.w lr[4],lr
16053 +       stswp.w pc[1156],r8
16054 +       stswp.w sp[7992],r10
16055 +       stswp.w r8[-1172],r5
16056 +       .text
16057 +       .global and2
16058 +and2:
16059 +       and pc,pc,pc<<0
16060 +       and r12,r12,r12<<31
16061 +       and r5,r5,r5<<16
16062 +       and r4,r4,r4<<15
16063 +       and lr,lr,lr<<1
16064 +       and r10,r2,r1<<1
16065 +       and r12,r8,r11<<27
16066 +       and r10,r7,r0<<3
16067 +       .text
16068 +       .global and3
16069 +and3:
16070 +       and pc,pc,pc>>0
16071 +       and r12,r12,r12>>31
16072 +       and r5,r5,r5>>16
16073 +       and r4,r4,r4>>15
16074 +       and lr,lr,lr>>1
16075 +       and r12,r8,r7>>17
16076 +       and pc,r4,r9>>20
16077 +       and r10,r9,r10>>12
16078 +       .text
16079 +       .global or2
16080 +or2:
16081 +       or pc,pc,pc<<0
16082 +       or r12,r12,r12<<31
16083 +       or r5,r5,r5<<16
16084 +       or r4,r4,r4<<15
16085 +       or lr,lr,lr<<1
16086 +       or r8,sp,r11<<29
16087 +       or pc,r9,r2<<28
16088 +       or r5,r1,r2<<3
16089 +       .text
16090 +       .global or3
16091 +or3:
16092 +       or pc,pc,pc>>0
16093 +       or r12,r12,r12>>31
16094 +       or r5,r5,r5>>16
16095 +       or r4,r4,r4>>15
16096 +       or lr,lr,lr>>1
16097 +       or r1,sp,sp>>2
16098 +       or r0,r1,r1>>29
16099 +       or r4,r12,r8>>8
16100 +       .text
16101 +       .global eor2
16102 +eor2:
16103 +       eor pc,pc,pc<<0
16104 +       eor r12,r12,r12<<31
16105 +       eor r5,r5,r5<<16
16106 +       eor r4,r4,r4<<15
16107 +       eor lr,lr,lr<<1
16108 +       eor r10,r9,r4<<11
16109 +       eor r4,r0,r1<<31
16110 +       eor r6,r2,r12<<13
16111 +       .text
16112 +       .global eor3
16113 +eor3:
16114 +       eor pc,pc,pc>>0
16115 +       eor r12,r12,r12>>31
16116 +       eor r5,r5,r5>>16
16117 +       eor r4,r4,r4>>15
16118 +       eor lr,lr,lr>>1
16119 +       eor r5,r5,r5>>22
16120 +       eor r10,r1,lr>>3
16121 +       eor r7,lr,sp>>26
16122 +       .text
16123 +       .global sthh_w2
16124 +sthh_w2:
16125 +       sthh.w pc[pc<<0],pc:b,pc:b
16126 +       sthh.w r12[r12<<3],r12:t,r12:t
16127 +       sthh.w r5[r5<<2],r5:t,r5:t
16128 +       sthh.w r4[r4<<1],r4:b,r4:b
16129 +       sthh.w lr[lr<<1],lr:t,lr:t
16130 +       sthh.w sp[r6<<3],r1:t,r12:t
16131 +       sthh.w r6[r6<<0],r9:t,r9:t
16132 +       sthh.w r10[r3<<0],r0:b,r11:t
16133 +       .text
16134 +       .global sthh_w1
16135 +sthh_w1:
16136 +       sthh.w pc[0],pc:b,pc:b
16137 +       sthh.w r12[1020],r12:t,r12:t
16138 +       sthh.w r5[512],r5:t,r5:t
16139 +       sthh.w r4[508],r4:b,r4:b
16140 +       sthh.w lr[4],lr:t,lr:t
16141 +       sthh.w r4[404],r9:t,r0:b
16142 +       sthh.w r8[348],r2:t,r10:b
16143 +       sthh.w sp[172],r9:b,r2:b
16144 +       .text
16145 +       .global cop
16146 +cop:
16147 +       cop cp0,cr0,cr0,cr0,0
16148 +       cop cp7,cr15,cr15,cr15,0x7f
16149 +       cop cp3,cr5,cr5,cr5,0x31
16150 +       cop cp2,cr4,cr4,cr4,0x30
16151 +       cop cp5,cr8,cr3,cr7,0x5a
16152 +       .text
16153 +       .global ldc_w1
16154 +ldc_w1:
16155 +       ldc.w cp0,cr0,r0[0]
16156 +       ldc.w cp7,cr15,pc[255<<2]
16157 +       ldc.w cp3,cr5,r5[128<<2]
16158 +       ldc.w cp2,cr4,r4[127<<2]
16159 +       ldc.w cp4,cr9,r13[36<<2]
16160 +       .text
16161 +       .global ldc_w2
16162 +ldc_w2:
16163 +       ldc.w cp0,cr0,--r0
16164 +       ldc.w cp7,cr15,--pc
16165 +       ldc.w cp3,cr5,--r5
16166 +       ldc.w cp2,cr4,--r4
16167 +       ldc.w cp4,cr9,--r13
16168 +       .text
16169 +       .global ldc_w3
16170 +ldc_w3:
16171 +       ldc.w cp0,cr0,r0[r0]
16172 +       ldc.w cp7,cr15,pc[pc<<3]
16173 +       ldc.w cp3,cr5,r5[r4<<2]
16174 +       ldc.w cp2,cr4,r4[r3<<1]
16175 +       ldc.w cp4,cr9,r13[r12<<0]
16176 +       .text
16177 +       .global ldc_d1
16178 +ldc_d1:
16179 +       ldc.d cp0,cr0,r0[0]
16180 +       ldc.d cp7,cr14,pc[255<<2]
16181 +       ldc.d cp3,cr6,r5[128<<2]
16182 +       ldc.d cp2,cr4,r4[127<<2]
16183 +       ldc.d cp4,cr8,r13[36<<2]
16184 +       .text
16185 +       .global ldc_d2
16186 +ldc_d2:
16187 +       ldc.d cp0,cr0,--r0
16188 +       ldc.d cp7,cr14,--pc
16189 +       ldc.d cp3,cr6,--r5
16190 +       ldc.d cp2,cr4,--r4
16191 +       ldc.d cp4,cr8,--r13
16192 +       .text
16193 +       .global ldc_d3
16194 +ldc_d3:
16195 +       ldc.d cp0,cr0,r0[r0]
16196 +       ldc.d cp7,cr14,pc[pc<<3]
16197 +       ldc.d cp3,cr6,r5[r4<<2]
16198 +       ldc.d cp2,cr4,r4[r3<<1]
16199 +       ldc.d cp4,cr8,r13[r12<<0]
16200 +       .text
16201 +       .global stc_w1
16202 +stc_w1:
16203 +       stc.w cp0,r0[0],cr0
16204 +       stc.w cp7,pc[255<<2],cr15
16205 +       stc.w cp3,r5[128<<2],cr5
16206 +       stc.w cp2,r4[127<<2],cr4
16207 +       stc.w cp4,r13[36<<2],cr9
16208 +       .text
16209 +       .global stc_w2
16210 +stc_w2:
16211 +       stc.w cp0,r0++,cr0
16212 +       stc.w cp7,pc++,cr15
16213 +       stc.w cp3,r5++,cr5
16214 +       stc.w cp2,r4++,cr4
16215 +       stc.w cp4,r13++,cr9
16216 +       .text
16217 +       .global stc_w3
16218 +stc_w3:
16219 +       stc.w cp0,r0[r0],cr0
16220 +       stc.w cp7,pc[pc<<3],cr15
16221 +       stc.w cp3,r5[r4<<2],cr5
16222 +       stc.w cp2,r4[r3<<1],cr4
16223 +       stc.w cp4,r13[r12<<0],cr9
16224 +       .text
16225 +       .global stc_d1
16226 +stc_d1:
16227 +       stc.d cp0,r0[0],cr0
16228 +       stc.d cp7,pc[255<<2],cr14
16229 +       stc.d cp3,r5[128<<2],cr6
16230 +       stc.d cp2,r4[127<<2],cr4
16231 +       stc.d cp4,r13[36<<2],cr8
16232 +       .text
16233 +       .global stc_d2
16234 +stc_d2:
16235 +       stc.d cp0,r0++,cr0
16236 +       stc.d cp7,pc++,cr14
16237 +       stc.d cp3,r5++,cr6
16238 +       stc.d cp2,r4++,cr4
16239 +       stc.d cp4,r13++,cr8
16240 +       .text
16241 +       .global stc_d3
16242 +stc_d3:
16243 +       stc.d cp0,r0[r0],cr0
16244 +       stc.d cp7,pc[pc<<3],cr14
16245 +       stc.d cp3,r5[r4<<2],cr6
16246 +       stc.d cp2,r4[r3<<1],cr4
16247 +       stc.d cp4,r13[r12<<0],cr8
16248 +       .text
16249 +       .global ldc0_w
16250 +ldc0_w:
16251 +       ldc0.w cr0,r0[0]
16252 +       ldc0.w cr15,pc[4095<<2]
16253 +       ldc0.w cr5,r5[2048<<2]
16254 +       ldc0.w cr4,r4[2047<<2]
16255 +       ldc0.w cr9,r13[147<<2]
16256 +       .text
16257 +       .global ldc0_d
16258 +ldc0_d:
16259 +       ldc0.d cr0,r0[0]
16260 +       ldc0.d cr14,pc[4095<<2]
16261 +       ldc0.d cr6,r5[2048<<2]
16262 +       ldc0.d cr4,r4[2047<<2]
16263 +       ldc0.d cr8,r13[147<<2]
16264 +       .text
16265 +       .global stc0_w
16266 +stc0_w:
16267 +       stc0.w r0[0],cr0
16268 +       stc0.w pc[4095<<2],cr15
16269 +       stc0.w r5[2048<<2],cr5
16270 +       stc0.w r4[2047<<2],cr4
16271 +       stc0.w r13[147<<2],cr9
16272 +       .text
16273 +       .global stc0_d
16274 +stc0_d:
16275 +       stc0.d r0[0],cr0
16276 +       stc0.d pc[4095<<2],cr14
16277 +       stc0.d r5[2048<<2],cr6
16278 +       stc0.d r4[2047<<2],cr4
16279 +       stc0.d r13[147<<2],cr8
16280 +       .text
16281 +       .global memc
16282 +memc:
16283 +       memc 0, 0
16284 +       memc -4, 31
16285 +       memc -65536, 16
16286 +       memc 65532, 15
16287 +       .text
16288 +       .global mems
16289 +mems:
16290 +       mems 0, 0
16291 +       mems -4, 31
16292 +       mems -65536, 16
16293 +       mems 65532, 15
16294 +       .text
16295 +       .global memt
16296 +memt:
16297 +       memt 0, 0
16298 +       memt -4, 31
16299 +       memt -65536, 16
16300 +       memt 65532, 15
16301 +
16302 +       .text
16303 +       .global stcond
16304 +stcond:
16305 +       stcond r0[0], r0
16306 +       stcond pc[-1], pc
16307 +       stcond r8[-32768], r7
16308 +       stcond r7[32767], r8
16309 +       stcond r5[0x1234], r10
16310 +
16311 +ldcm_w:
16312 +       ldcm.w cp0,pc,cr0-cr7
16313 +       ldcm.w cp7,r0,cr0
16314 +       ldcm.w cp4,r4++,cr0-cr6
16315 +       ldcm.w cp3,r7,cr7
16316 +       ldcm.w cp1,r12++,cr1,cr4-cr6
16317 +       ldcm.w cp0,pc,cr8-cr15
16318 +       ldcm.w cp7,r0,cr8
16319 +       ldcm.w cp4,r4++,cr8-cr14
16320 +       ldcm.w cp3,r7,cr15
16321 +       ldcm.w cp1,r12++,cr9,cr12-cr14
16322 +
16323 +ldcm_d:
16324 +       ldcm.d cp0,pc,cr0-cr15
16325 +       ldcm.d cp7,r0,cr0,cr1
16326 +       ldcm.d cp4,r4++,cr0-cr13
16327 +       ldcm.d cp3,r7,cr14-cr15
16328 +       ldcm.d cp2,r12++,cr0-cr3,cr8-cr9,cr14-cr15
16329 +
16330 +stcm_w:
16331 +       stcm.w cp0,pc,cr0-cr7
16332 +       stcm.w cp7,r0,cr0
16333 +       stcm.w cp4,--r4,cr0-cr6
16334 +       stcm.w cp3,r7,cr7
16335 +       stcm.w cp1,--r12,cr1,cr4-cr6
16336 +       stcm.w cp0,pc,cr8-cr15
16337 +       stcm.w cp7,r0,cr8
16338 +       stcm.w cp4,--r4,cr8-cr14
16339 +       stcm.w cp3,r7,cr15
16340 +       stcm.w cp1,--r12,cr9,cr12-cr14
16341 +
16342 +stcm_d:
16343 +       stcm.d cp0,pc,cr0-cr15
16344 +       stcm.d cp7,r0,cr0,cr1
16345 +       stcm.d cp4,--r4,cr0-cr13
16346 +       stcm.d cp3,r7,cr14-cr15
16347 +       stcm.d cp2,--r12,cr0-cr3,cr8-cr9,cr14-cr15
16348 +
16349 +mvcr_w:
16350 +       mvcr.w cp7,pc,cr15
16351 +       mvcr.w cp0,r0,cr0
16352 +       mvcr.w cp0,pc,cr15
16353 +       mvcr.w cp7,r0,cr15
16354 +       mvcr.w cp7,pc,cr0
16355 +       mvcr.w cp4,r7,cr8
16356 +       mvcr.w cp3,r8,cr7
16357 +
16358 +mvcr_d:
16359 +       mvcr.d cp7,lr,cr14
16360 +       mvcr.d cp0,r0,cr0
16361 +       mvcr.d cp0,lr,cr14
16362 +       mvcr.d cp7,r0,cr14
16363 +       mvcr.d cp7,lr,cr0
16364 +       mvcr.d cp4,r6,cr8
16365 +       mvcr.d cp3,r8,cr6
16366 +
16367 +mvrc_w:
16368 +       mvrc.w cp7,cr15,pc
16369 +       mvrc.w cp0,cr0,r0
16370 +       mvrc.w cp0,cr15,pc
16371 +       mvrc.w cp7,cr15,r0
16372 +       mvrc.w cp7,cr0,pc
16373 +       mvrc.w cp4,cr8,r7
16374 +       mvrc.w cp3,cr7,r8
16375 +
16376 +mvrc_d:
16377 +       mvrc.d cp7,cr14,lr
16378 +       mvrc.d cp0,cr0,r0
16379 +       mvrc.d cp0,cr14,lr
16380 +       mvrc.d cp7,cr14,r0
16381 +       mvrc.d cp7,cr0,lr
16382 +       mvrc.d cp4,cr8,r6
16383 +       mvrc.d cp3,cr6,r8
16384 +
16385 +bfexts:
16386 +       bfexts pc,pc,31,31
16387 +       bfexts r0,r0,0,0
16388 +       bfexts r0,pc,31,31
16389 +       bfexts pc,r0,31,31
16390 +       bfexts pc,pc,0,31
16391 +       bfexts pc,pc,31,0
16392 +       bfexts r7,r8,15,16
16393 +       bfexts r8,r7,16,15
16394 +
16395 +bfextu:
16396 +       bfextu pc,pc,31,31
16397 +       bfextu r0,r0,0,0
16398 +       bfextu r0,pc,31,31
16399 +       bfextu pc,r0,31,31
16400 +       bfextu pc,pc,0,31
16401 +       bfextu pc,pc,31,0
16402 +       bfextu r7,r8,15,16
16403 +       bfextu r8,r7,16,15
16404 +
16405 +bfins:
16406 +       bfins pc,pc,31,31
16407 +       bfins r0,r0,0,0
16408 +       bfins r0,pc,31,31
16409 +       bfins pc,r0,31,31
16410 +       bfins pc,pc,0,31
16411 +       bfins pc,pc,31,0
16412 +       bfins r7,r8,15,16
16413 +       bfins r8,r7,16,15
16414 +
16415 +rsubc:
16416 +       rsubeq pc,0
16417 +       rsubal r12,-1
16418 +       rsubls r5,-128
16419 +       rsubpl r4,127
16420 +       rsubne lr,1
16421 +       rsubls r12,118
16422 +       rsubvc lr,-12
16423 +       rsubmi r4,-13
16424 +
16425 +addc:
16426 +       addeq pc,pc,pc
16427 +       addal r12,r12,r12
16428 +       addls r5,r5,r5
16429 +       addpl r4,r4,r4
16430 +       addne lr,lr,lr
16431 +       addls r10,r2,r1
16432 +       addvc r12,r8,r11
16433 +       addmi r10,r7,r0   
16434 +
16435 +subc2:
16436 +       subeq pc,pc,pc
16437 +       subal r12,r12,r12
16438 +       subls r5,r5,r5
16439 +       subpl r4,r4,r4
16440 +       subne lr,lr,lr
16441 +       subls r10,r2,r1
16442 +       subvc r12,r8,r11
16443 +       submi r10,r7,r0   
16444 +
16445 +andc:
16446 +       andeq pc,pc,pc
16447 +       andal r12,r12,r12
16448 +       andls r5,r5,r5
16449 +       andpl r4,r4,r4
16450 +       andne lr,lr,lr
16451 +       andls r10,r2,r1
16452 +       andvc r12,r8,r11
16453 +       andmi r10,r7,r0   
16454 +
16455 +orc:
16456 +       oreq pc,pc,pc
16457 +       oral r12,r12,r12
16458 +       orls r5,r5,r5
16459 +       orpl r4,r4,r4
16460 +       orne lr,lr,lr
16461 +       orls r10,r2,r1
16462 +       orvc r12,r8,r11
16463 +       ormi r10,r7,r0   
16464 +
16465 +eorc:
16466 +       eoreq pc,pc,pc
16467 +       eoral r12,r12,r12
16468 +       eorls r5,r5,r5
16469 +       eorpl r4,r4,r4
16470 +       eorne lr,lr,lr
16471 +       eorls r10,r2,r1
16472 +       eorvc r12,r8,r11
16473 +       eormi r10,r7,r0   
16474 +
16475 +ldcond:
16476 +       ld.weq  pc,pc[2044]
16477 +       ld.shal r12,r12[1022]
16478 +       ld.uhls r5,r5[0]
16479 +       ld.ubpl r4,r4[511]
16480 +       ld.sbne lr,lr[0]
16481 +       ld.wls  r10,r2[0]
16482 +       ld.shvc r12,r8[0x3fe]
16483 +       ld.ubmi r10,r7[1]
16484 +  
16485 +stcond2:
16486 +       st.weq pc[2044],pc
16487 +       st.hal r12[1022],r12
16488 +       st.hls r5[0],r5
16489 +       st.bpl r4[511],r4
16490 +       st.bne lr[0],lr
16491 +       st.wls r2[0],r10
16492 +       st.hvc r8[0x3fe],r12
16493 +       st.bmi r7[1],r10
16494 +       
16495 +movh:  
16496 +       movh    pc, 65535
16497 +       movh    r0, 0
16498 +       movh    r5, 1
16499 +       movh    r12, 32767
16500 +       
16501 +               
16502 --- /dev/null
16503 +++ b/gas/testsuite/gas/avr32/avr32.exp
16504 @@ -0,0 +1,23 @@
16505 +# AVR32 assembler testsuite. -*- Tcl -*-
16506 +
16507 +if [istarget avr32-*-*] {
16508 +    run_dump_test "hwrd-lwrd"
16509 +    run_dump_test "pcrel"
16510 +    run_dump_test "aliases"
16511 +    run_dump_test "dwarf2"
16512 +    run_dump_test "pic_reloc"
16513 +    run_dump_test "fpinsn"
16514 +    run_dump_test "pico"
16515 +    run_dump_test "lda_pic"
16516 +    run_dump_test "lda_pic_linkrelax"
16517 +    run_dump_test "lda_nopic"
16518 +    run_dump_test "lda_nopic_linkrelax"
16519 +    run_dump_test "call_pic"
16520 +    run_dump_test "call_pic_linkrelax"
16521 +    run_dump_test "call_nopic"
16522 +    run_dump_test "call_nopic_linkrelax"
16523 +    run_dump_test "jmptable"
16524 +    run_dump_test "jmptable_linkrelax"
16525 +    run_dump_test "symdiff"
16526 +    run_dump_test "symdiff_linkrelax"
16527 +}
16528 --- /dev/null
16529 +++ b/gas/testsuite/gas/avr32/call_nopic.d
16530 @@ -0,0 +1,36 @@
16531 +#source: call.s
16532 +#as:
16533 +#objdump: -dr
16534 +#name: call_nopic
16535 +
16536 +.*: +file format .*
16537 +
16538 +Disassembly of section \.text:
16539 +
16540 +00000000 <call_test>:
16541 +       0:      d7 03           nop
16542 +
16543 +00000002 <toofar_negative>:
16544 +       \.\.\.
16545 +  1ffffe:      00 00           add r0,r0
16546 +  200000:      f0 a0 00 00     rcall 0 <call_test>
16547 +  200004:      f0 1f 00 0c     mcall 200034 <toofar_negative\+0x200032>
16548 +  200008:      f0 1f 00 0c     mcall 200038 <toofar_negative\+0x200036>
16549 +  20000c:      f0 1f 00 0c     mcall 20003c <toofar_negative\+0x20003a>
16550 +  200010:      f0 1f 00 0c     mcall 200040 <toofar_negative\+0x20003e>
16551 +       \.\.\.
16552 +  200030:      ee b0 ff ff     rcall 40002e <far_positive>
16553 +       \.\.\.
16554 +                       200034: R_AVR32_32_CPENT        \.text\+0x2
16555 +                       200038: R_AVR32_32_CPENT        \.text\.init
16556 +                       20003c: R_AVR32_32_CPENT        undefined
16557 +                       200040: R_AVR32_32_CPENT        \.text\+0x40002c
16558 +
16559 +0040002c <toofar_positive>:
16560 +  40002c:      d7 03           nop
16561 +0040002e <far_positive>:
16562 +  40002e:      d7 03           nop
16563 +Disassembly of section \.text\.init:
16564 +
16565 +00000000 <different_section>:
16566 +   0:  e2 c0 00 00     sub r0,r1,0
16567 --- /dev/null
16568 +++ b/gas/testsuite/gas/avr32/call_nopic_linkrelax.d
16569 @@ -0,0 +1,43 @@
16570 +#source: call.s
16571 +#as: --linkrelax
16572 +#objdump: -dr
16573 +#name: call_nopic_linkrelax
16574 +
16575 +.*: +file format .*
16576 +
16577 +Disassembly of section \.text:
16578 +
16579 +00000000 <call_test>:
16580 +       0:      d7 03           nop
16581 +
16582 +00000002 <toofar_negative>:
16583 +       \.\.\.
16584 +  1ffffe:      00 00           add r0,r0
16585 +  200000:      e0 a0 00 00     rcall 200000 <toofar_negative\+0x1ffffe>
16586 +                       200000: R_AVR32_22H_PCREL       \.text
16587 +  200004:      f0 1f 00 00     mcall 200004 <toofar_negative\+0x200002>
16588 +                       200004: R_AVR32_CPCALL  \.text\+0x200034
16589 +  200008:      f0 1f 00 00     mcall 200008 <toofar_negative\+0x200006>
16590 +                       200008: R_AVR32_CPCALL  \.text\+0x200038
16591 +  20000c:      f0 1f 00 00     mcall 20000c <toofar_negative\+0x20000a>
16592 +                       20000c: R_AVR32_CPCALL  \.text\+0x20003c
16593 +  200010:      f0 1f 00 00     mcall 200010 <toofar_negative\+0x20000e>
16594 +                       200010: R_AVR32_CPCALL  \.text\+0x200040
16595 +       \.\.\.
16596 +  200030:      e0 a0 00 00     rcall 200030 <toofar_negative\+0x20002e>
16597 +                       200030: R_AVR32_22H_PCREL       \.text\+0x40002e
16598 +       \.\.\.
16599 +                       200034: R_AVR32_ALIGN   \*ABS\*\+0x2
16600 +                       200034: R_AVR32_32_CPENT        \.text\+0x2
16601 +                       200038: R_AVR32_32_CPENT        \.text\.init
16602 +                       20003c: R_AVR32_32_CPENT        undefined
16603 +                       200040: R_AVR32_32_CPENT        \.text\+0x40002c
16604 +
16605 +0040002c <toofar_positive>:
16606 +  40002c:      d7 03           nop
16607 +0040002e <far_positive>:
16608 +  40002e:      d7 03           nop
16609 +Disassembly of section \.text\.init:
16610 +
16611 +00000000 <different_section>:
16612 +   0:  e2 c0 00 00     sub r0,r1,0
16613 --- /dev/null
16614 +++ b/gas/testsuite/gas/avr32/call_pic.d
16615 @@ -0,0 +1,36 @@
16616 +#source: call.s
16617 +#as: --pic
16618 +#objdump: -dr
16619 +#name: call_pic
16620 +
16621 +.*: +file format .*
16622 +
16623 +Disassembly of section \.text:
16624 +
16625 +00000000 <call_test>:
16626 +       0:      d7 03           nop
16627 +
16628 +00000002 <toofar_negative>:
16629 +       \.\.\.
16630 +  1ffffe:      00 00           add r0,r0
16631 +  200000:      f0 a0 00 00     rcall 0 <call_test>
16632 +  200004:      f0 16 00 00     mcall r6\[0\]
16633 +                       200004: R_AVR32_GOT18SW toofar_negative
16634 +  200008:      f0 16 00 00     mcall r6\[0\]
16635 +                       200008: R_AVR32_GOT18SW different_section
16636 +  20000c:      f0 16 00 00     mcall r6\[0\]
16637 +                       20000c: R_AVR32_GOT18SW undefined
16638 +  200010:      f0 16 00 00     mcall r6\[0\]
16639 +                       200010: R_AVR32_GOT18SW toofar_positive
16640 +       \.\.\.
16641 +  200030:      ee b0 ff ff     rcall 40002e <far_positive>
16642 +       \.\.\.
16643 +
16644 +0040002c <toofar_positive>:
16645 +  40002c:      d7 03           nop
16646 +0040002e <far_positive>:
16647 +  40002e:      d7 03           nop
16648 +Disassembly of section \.text\.init:
16649 +
16650 +00000000 <different_section>:
16651 +   0:  e2 c0 00 00     sub r0,r1,0
16652 --- /dev/null
16653 +++ b/gas/testsuite/gas/avr32/call_pic_linkrelax.d
16654 @@ -0,0 +1,47 @@
16655 +#source: call.s
16656 +#as: --pic --linkrelax
16657 +#objdump: -dr
16658 +#name: call_pic_linkrelax
16659 +
16660 +.*: +file format .*
16661 +
16662 +Disassembly of section \.text:
16663 +
16664 +00000000 <call_test>:
16665 +       0:      d7 03           nop
16666 +
16667 +00000002 <toofar_negative>:
16668 +       \.\.\.
16669 +  1ffffe:      00 00           add r0,r0
16670 +  200000:      e0 a0 00 00     rcall 200000 <toofar_negative\+0x1ffffe>
16671 +                       200000: R_AVR32_22H_PCREL       \.text
16672 +  200004:      e0 6e 00 00     mov lr,0
16673 +                       200004: R_AVR32_GOTCALL toofar_negative
16674 +  200008:      ec 0e 03 2e     ld\.w lr,r6\[lr<<0x2\]
16675 +  20000c:      5d 1e           icall lr
16676 +  20000e:      e0 6e 00 00     mov lr,0
16677 +                       20000e: R_AVR32_GOTCALL different_section
16678 +  200012:      ec 0e 03 2e     ld\.w lr,r6\[lr<<0x2\]
16679 +  200016:      5d 1e           icall lr
16680 +  200018:      e0 6e 00 00     mov lr,0
16681 +                       200018: R_AVR32_GOTCALL undefined
16682 +  20001c:      ec 0e 03 2e     ld\.w lr,r6\[lr<<0x2\]
16683 +  200020:      5d 1e           icall lr
16684 +  200022:      e0 6e 00 00     mov lr,0
16685 +                       200022: R_AVR32_GOTCALL toofar_positive
16686 +  200026:      ec 0e 03 2e     ld\.w lr,r6\[lr<<0x2\]
16687 +  20002a:      5d 1e           icall lr
16688 +  20002c:      00 00           add r0,r0
16689 +  20002e:      00 00           add r0,r0
16690 +  200030:      e0 a0 00 00     rcall 200030 <toofar_negative\+0x20002e>
16691 +                       200030: R_AVR32_22H_PCREL       \.text\+0x40002e
16692 +       \.\.\.
16693 +
16694 +0040002c <toofar_positive>:
16695 +  40002c:      d7 03           nop
16696 +0040002e <far_positive>:
16697 +  40002e:      d7 03           nop
16698 +Disassembly of section \.text\.init:
16699 +
16700 +00000000 <different_section>:
16701 +   0:  e2 c0 00 00     sub r0,r1,0
16702 --- /dev/null
16703 +++ b/gas/testsuite/gas/avr32/call.s
16704 @@ -0,0 +1,30 @@
16705 +
16706 +       .text
16707 +       .global call_test
16708 +call_test:
16709 +far_negative:
16710 +       nop
16711 +toofar_negative:
16712 +
16713 +       .org    0x200000
16714 +
16715 +       call    far_negative
16716 +       call    toofar_negative
16717 +       call    different_section
16718 +       call    undefined
16719 +       call    toofar_positive
16720 +       .org    0x200030
16721 +       call    far_positive
16722 +
16723 +       .cpool
16724 +
16725 +       .org    0x40002c
16726 +
16727 +toofar_positive:
16728 +       nop
16729 +far_positive:
16730 +       nop
16731 +
16732 +       .section .text.init,"ax",@progbits
16733 +different_section:
16734 +       sub     r0, r1, 0
16735 --- /dev/null
16736 +++ b/gas/testsuite/gas/avr32/dwarf2.d
16737 @@ -0,0 +1,42 @@
16738 +#readelf: -wl
16739 +#name: dwarf2
16740 +#source: dwarf2.s
16741 +
16742 +Dump of debug contents of section \.debug_line:
16743 +
16744 +  Length:                      53
16745 +  DWARF Version:               2
16746 +  Prologue Length:             26
16747 +  Minimum Instruction Length:  1
16748 +  Initial value of 'is_stmt':  1
16749 +  Line Base:                   -5
16750 +  Line Range:                  14
16751 +  Opcode Base:                 10
16752 +  \(Pointer size:               4\)
16753 +
16754 + Opcodes:
16755 +  Opcode 1 has 0 args
16756 +  Opcode 2 has 1 args
16757 +  Opcode 3 has 1 args
16758 +  Opcode 4 has 1 args
16759 +  Opcode 5 has 1 args
16760 +  Opcode 6 has 0 args
16761 +  Opcode 7 has 0 args
16762 +  Opcode 8 has 0 args
16763 +  Opcode 9 has 1 args
16764 +
16765 + The Directory Table is empty\.
16766 +
16767 + The File Name Table:
16768 +  Entry        Dir     Time    Size    Name
16769 +  1    0       0       0       main\.c
16770 +
16771 + Line Number Statements:
16772 +  Extended opcode 2: set Address to 0x0
16773 +  Advance Line by 87 to 88
16774 +  Copy
16775 +  Advance Line by 23 to 111
16776 +  Special opcode .*: advance Address by 4 to 0x4 and Line by 0 to 111
16777 +  Special opcode .*: advance Address by 10 to 0xe and Line by 1 to 112
16778 +  Advance PC by 530 to 220
16779 +  Extended opcode 1: End of Sequence
16780 --- /dev/null
16781 +++ b/gas/testsuite/gas/avr32/dwarf2.s
16782 @@ -0,0 +1,67 @@
16783 +# Source file used to test DWARF2 information for AVR32.
16784 +
16785 +       .file   "main.c"
16786 +
16787 +       .section .debug_abbrev,"",@progbits
16788 +.Ldebug_abbrev0:
16789 +       .section .debug_info,"",@progbits
16790 +.Ldebug_info0:
16791 +       .section .debug_line,"",@progbits
16792 +.Ldebug_line0:
16793 +
16794 +       .text
16795 +       .align  1
16796 +       .globl  main
16797 +       .type   main, @function
16798 +.Ltext0:
16799 +main:
16800 +       .file 1 "main.c"
16801 +       .loc 1 88 0
16802 +       pushm   r0-r7,lr
16803 +       sub     sp, 4
16804 +       .loc 1 111 0
16805 +       lddpc   r12, .LC1
16806 +       lddpc   r7, .LC1
16807 +       icall   r7
16808 +       .loc 1 112 0
16809 +       lddpc   r6, .LC4
16810 +
16811 +       .align  2
16812 +.LC4:  .int    0
16813 +
16814 +       .fill   256, 2, 0
16815 +
16816 +       .align  2
16817 +.LC1:
16818 +       .int    0
16819 +.LC2:
16820 +       .int    0
16821 +.LC3:
16822 +       .int    0
16823 +       .size   main, . - main
16824 +
16825 +.Letext0:
16826 +
16827 +       .section .debug_info
16828 +       .int    .Ledebug_info0 - .Ldebug_info0  // size
16829 +       .short  2                               // version
16830 +       .int    .Ldebug_abbrev0                 // abbrev offset
16831 +       .byte   4                               // bytes per addr
16832 +
16833 +       .uleb128 1                              // abbrev 1
16834 +       .int    .Ldebug_line0                   // DW_AT_stmt_list
16835 +       .int    .Letext0                        // DW_AT_high_pc
16836 +       .int    .Ltext0                         // DW_AT_low_pc
16837 +
16838 +.Ledebug_info0:
16839 +
16840 +       .section .debug_abbrev
16841 +       .uleb128 0x01
16842 +       .uleb128 0x11           // DW_TAG_compile_unit
16843 +       .byte   0               // DW_CHILDREN_no
16844 +       .uleb128 0x10, 0x6      // DW_AT_stmt_list
16845 +       .uleb128 0x12, 0x1      // DW_AT_high_pc
16846 +       .uleb128 0x11, 0x1      // DW_AT_low_pc
16847 +       .uleb128 0, 0
16848 +
16849 +       .byte   0
16850 --- /dev/null
16851 +++ b/gas/testsuite/gas/avr32/fpinsn.d
16852 @@ -0,0 +1,271 @@
16853 +#as:
16854 +#objdump: -dr
16855 +#name: fpinsn
16856 +
16857 +.*: +file format .*
16858 +
16859 +Disassembly of section \.text:
16860 +
16861 +[0-9a-f]* <fadd_s>:
16862 + *[0-9a-f]*:   e1 a2 0f ff     cop cp0,cr15,cr15,cr15,0x4
16863 + *[0-9a-f]*:   e1 a2 00 00     cop cp0,cr0,cr0,cr0,0x4
16864 + *[0-9a-f]*:   e1 a2 00 ff     cop cp0,cr0,cr15,cr15,0x4
16865 + *[0-9a-f]*:   e1 a2 0f 0f     cop cp0,cr15,cr0,cr15,0x4
16866 + *[0-9a-f]*:   e1 a2 0f f0     cop cp0,cr15,cr15,cr0,0x4
16867 + *[0-9a-f]*:   e1 a2 07 88     cop cp0,cr7,cr8,cr8,0x4
16868 + *[0-9a-f]*:   e1 a2 08 78     cop cp0,cr8,cr7,cr8,0x4
16869 + *[0-9a-f]*:   e1 a2 08 87     cop cp0,cr8,cr8,cr7,0x4
16870 +
16871 +[0-9a-f]* <fsub_s>:
16872 + *[0-9a-f]*:   e1 a2 1f ff     cop cp0,cr15,cr15,cr15,0x5
16873 + *[0-9a-f]*:   e1 a2 10 00     cop cp0,cr0,cr0,cr0,0x5
16874 + *[0-9a-f]*:   e1 a2 10 ff     cop cp0,cr0,cr15,cr15,0x5
16875 + *[0-9a-f]*:   e1 a2 1f 0f     cop cp0,cr15,cr0,cr15,0x5
16876 + *[0-9a-f]*:   e1 a2 1f f0     cop cp0,cr15,cr15,cr0,0x5
16877 + *[0-9a-f]*:   e1 a2 17 88     cop cp0,cr7,cr8,cr8,0x5
16878 + *[0-9a-f]*:   e1 a2 18 78     cop cp0,cr8,cr7,cr8,0x5
16879 + *[0-9a-f]*:   e1 a2 18 87     cop cp0,cr8,cr8,cr7,0x5
16880 +
16881 +[0-9a-f]* <fmac_s>:
16882 + *[0-9a-f]*:   e1 a0 0f ff     cop cp0,cr15,cr15,cr15,0x0
16883 + *[0-9a-f]*:   e1 a0 00 00     cop cp0,cr0,cr0,cr0,0x0
16884 + *[0-9a-f]*:   e1 a0 00 ff     cop cp0,cr0,cr15,cr15,0x0
16885 + *[0-9a-f]*:   e1 a0 0f 0f     cop cp0,cr15,cr0,cr15,0x0
16886 + *[0-9a-f]*:   e1 a0 0f f0     cop cp0,cr15,cr15,cr0,0x0
16887 + *[0-9a-f]*:   e1 a0 07 88     cop cp0,cr7,cr8,cr8,0x0
16888 + *[0-9a-f]*:   e1 a0 08 78     cop cp0,cr8,cr7,cr8,0x0
16889 + *[0-9a-f]*:   e1 a0 08 87     cop cp0,cr8,cr8,cr7,0x0
16890 +
16891 +[0-9a-f]* <fnmac_s>:
16892 + *[0-9a-f]*:   e1 a0 1f ff     cop cp0,cr15,cr15,cr15,0x1
16893 + *[0-9a-f]*:   e1 a0 10 00     cop cp0,cr0,cr0,cr0,0x1
16894 + *[0-9a-f]*:   e1 a0 10 ff     cop cp0,cr0,cr15,cr15,0x1
16895 + *[0-9a-f]*:   e1 a0 1f 0f     cop cp0,cr15,cr0,cr15,0x1
16896 + *[0-9a-f]*:   e1 a0 1f f0     cop cp0,cr15,cr15,cr0,0x1
16897 + *[0-9a-f]*:   e1 a0 17 88     cop cp0,cr7,cr8,cr8,0x1
16898 + *[0-9a-f]*:   e1 a0 18 78     cop cp0,cr8,cr7,cr8,0x1
16899 + *[0-9a-f]*:   e1 a0 18 87     cop cp0,cr8,cr8,cr7,0x1
16900 +
16901 +[0-9a-f]* <fmsc_s>:
16902 + *[0-9a-f]*:   e1 a1 0f ff     cop cp0,cr15,cr15,cr15,0x2
16903 + *[0-9a-f]*:   e1 a1 00 00     cop cp0,cr0,cr0,cr0,0x2
16904 + *[0-9a-f]*:   e1 a1 00 ff     cop cp0,cr0,cr15,cr15,0x2
16905 + *[0-9a-f]*:   e1 a1 0f 0f     cop cp0,cr15,cr0,cr15,0x2
16906 + *[0-9a-f]*:   e1 a1 0f f0     cop cp0,cr15,cr15,cr0,0x2
16907 + *[0-9a-f]*:   e1 a1 07 88     cop cp0,cr7,cr8,cr8,0x2
16908 + *[0-9a-f]*:   e1 a1 08 78     cop cp0,cr8,cr7,cr8,0x2
16909 + *[0-9a-f]*:   e1 a1 08 87     cop cp0,cr8,cr8,cr7,0x2
16910 +
16911 +[0-9a-f]* <fnmsc_s>:
16912 + *[0-9a-f]*:   e1 a1 1f ff     cop cp0,cr15,cr15,cr15,0x3
16913 + *[0-9a-f]*:   e1 a1 10 00     cop cp0,cr0,cr0,cr0,0x3
16914 + *[0-9a-f]*:   e1 a1 10 ff     cop cp0,cr0,cr15,cr15,0x3
16915 + *[0-9a-f]*:   e1 a1 1f 0f     cop cp0,cr15,cr0,cr15,0x3
16916 + *[0-9a-f]*:   e1 a1 1f f0     cop cp0,cr15,cr15,cr0,0x3
16917 + *[0-9a-f]*:   e1 a1 17 88     cop cp0,cr7,cr8,cr8,0x3
16918 + *[0-9a-f]*:   e1 a1 18 78     cop cp0,cr8,cr7,cr8,0x3
16919 + *[0-9a-f]*:   e1 a1 18 87     cop cp0,cr8,cr8,cr7,0x3
16920 +
16921 +[0-9a-f]* <fmul_s>:
16922 + *[0-9a-f]*:   e1 a3 0f ff     cop cp0,cr15,cr15,cr15,0x6
16923 + *[0-9a-f]*:   e1 a3 00 00     cop cp0,cr0,cr0,cr0,0x6
16924 + *[0-9a-f]*:   e1 a3 00 ff     cop cp0,cr0,cr15,cr15,0x6
16925 + *[0-9a-f]*:   e1 a3 0f 0f     cop cp0,cr15,cr0,cr15,0x6
16926 + *[0-9a-f]*:   e1 a3 0f f0     cop cp0,cr15,cr15,cr0,0x6
16927 + *[0-9a-f]*:   e1 a3 07 88     cop cp0,cr7,cr8,cr8,0x6
16928 + *[0-9a-f]*:   e1 a3 08 78     cop cp0,cr8,cr7,cr8,0x6
16929 + *[0-9a-f]*:   e1 a3 08 87     cop cp0,cr8,cr8,cr7,0x6
16930 +
16931 +[0-9a-f]* <fnmul_s>:
16932 + *[0-9a-f]*:   e1 a3 1f ff     cop cp0,cr15,cr15,cr15,0x7
16933 + *[0-9a-f]*:   e1 a3 10 00     cop cp0,cr0,cr0,cr0,0x7
16934 + *[0-9a-f]*:   e1 a3 10 ff     cop cp0,cr0,cr15,cr15,0x7
16935 + *[0-9a-f]*:   e1 a3 1f 0f     cop cp0,cr15,cr0,cr15,0x7
16936 + *[0-9a-f]*:   e1 a3 1f f0     cop cp0,cr15,cr15,cr0,0x7
16937 + *[0-9a-f]*:   e1 a3 17 88     cop cp0,cr7,cr8,cr8,0x7
16938 + *[0-9a-f]*:   e1 a3 18 78     cop cp0,cr8,cr7,cr8,0x7
16939 + *[0-9a-f]*:   e1 a3 18 87     cop cp0,cr8,cr8,cr7,0x7
16940 +
16941 +[0-9a-f]* <fneg_s>:
16942 + *[0-9a-f]*:   e1 a4 0f f0     cop cp0,cr15,cr15,cr0,0x8
16943 + *[0-9a-f]*:   e1 a4 00 00     cop cp0,cr0,cr0,cr0,0x8
16944 + *[0-9a-f]*:   e1 a4 00 f0     cop cp0,cr0,cr15,cr0,0x8
16945 + *[0-9a-f]*:   e1 a4 0f 00     cop cp0,cr15,cr0,cr0,0x8
16946 + *[0-9a-f]*:   e1 a4 07 80     cop cp0,cr7,cr8,cr0,0x8
16947 + *[0-9a-f]*:   e1 a4 08 70     cop cp0,cr8,cr7,cr0,0x8
16948 +
16949 +[0-9a-f]* <fabs_s>:
16950 + *[0-9a-f]*:   e1 a4 1f f0     cop cp0,cr15,cr15,cr0,0x9
16951 + *[0-9a-f]*:   e1 a4 10 00     cop cp0,cr0,cr0,cr0,0x9
16952 + *[0-9a-f]*:   e1 a4 10 f0     cop cp0,cr0,cr15,cr0,0x9
16953 + *[0-9a-f]*:   e1 a4 1f 00     cop cp0,cr15,cr0,cr0,0x9
16954 + *[0-9a-f]*:   e1 a4 17 80     cop cp0,cr7,cr8,cr0,0x9
16955 + *[0-9a-f]*:   e1 a4 18 70     cop cp0,cr8,cr7,cr0,0x9
16956 +
16957 +[0-9a-f]* <fcmp_s>:
16958 + *[0-9a-f]*:   e1 a6 10 ff     cop cp0,cr0,cr15,cr15,0xd
16959 + *[0-9a-f]*:   e1 a6 10 00     cop cp0,cr0,cr0,cr0,0xd
16960 + *[0-9a-f]*:   e1 a6 10 0f     cop cp0,cr0,cr0,cr15,0xd
16961 + *[0-9a-f]*:   e1 a6 10 f0     cop cp0,cr0,cr15,cr0,0xd
16962 + *[0-9a-f]*:   e1 a6 10 78     cop cp0,cr0,cr7,cr8,0xd
16963 + *[0-9a-f]*:   e1 a6 10 87     cop cp0,cr0,cr8,cr7,0xd
16964 +
16965 +[0-9a-f]* <fadd_d>:
16966 + *[0-9a-f]*:   e5 a2 0e ee     cop cp0,cr14,cr14,cr14,0x44
16967 + *[0-9a-f]*:   e5 a2 00 00     cop cp0,cr0,cr0,cr0,0x44
16968 + *[0-9a-f]*:   e5 a2 00 ee     cop cp0,cr0,cr14,cr14,0x44
16969 + *[0-9a-f]*:   e5 a2 0e 0e     cop cp0,cr14,cr0,cr14,0x44
16970 + *[0-9a-f]*:   e5 a2 0e e0     cop cp0,cr14,cr14,cr0,0x44
16971 + *[0-9a-f]*:   e5 a2 06 88     cop cp0,cr6,cr8,cr8,0x44
16972 + *[0-9a-f]*:   e5 a2 08 68     cop cp0,cr8,cr6,cr8,0x44
16973 + *[0-9a-f]*:   e5 a2 08 86     cop cp0,cr8,cr8,cr6,0x44
16974 +
16975 +[0-9a-f]* <fsub_d>:
16976 + *[0-9a-f]*:   e5 a2 1e ee     cop cp0,cr14,cr14,cr14,0x45
16977 + *[0-9a-f]*:   e5 a2 10 00     cop cp0,cr0,cr0,cr0,0x45
16978 + *[0-9a-f]*:   e5 a2 10 ee     cop cp0,cr0,cr14,cr14,0x45
16979 + *[0-9a-f]*:   e5 a2 1e 0e     cop cp0,cr14,cr0,cr14,0x45
16980 + *[0-9a-f]*:   e5 a2 1e e0     cop cp0,cr14,cr14,cr0,0x45
16981 + *[0-9a-f]*:   e5 a2 16 88     cop cp0,cr6,cr8,cr8,0x45
16982 + *[0-9a-f]*:   e5 a2 18 68     cop cp0,cr8,cr6,cr8,0x45
16983 + *[0-9a-f]*:   e5 a2 18 86     cop cp0,cr8,cr8,cr6,0x45
16984 +
16985 +[0-9a-f]* <fmac_d>:
16986 + *[0-9a-f]*:   e5 a0 0e ee     cop cp0,cr14,cr14,cr14,0x40
16987 + *[0-9a-f]*:   e5 a0 00 00     cop cp0,cr0,cr0,cr0,0x40
16988 + *[0-9a-f]*:   e5 a0 00 ee     cop cp0,cr0,cr14,cr14,0x40
16989 + *[0-9a-f]*:   e5 a0 0e 0e     cop cp0,cr14,cr0,cr14,0x40
16990 + *[0-9a-f]*:   e5 a0 0e e0     cop cp0,cr14,cr14,cr0,0x40
16991 + *[0-9a-f]*:   e5 a0 06 88     cop cp0,cr6,cr8,cr8,0x40
16992 + *[0-9a-f]*:   e5 a0 08 68     cop cp0,cr8,cr6,cr8,0x40
16993 + *[0-9a-f]*:   e5 a0 08 86     cop cp0,cr8,cr8,cr6,0x40
16994 +
16995 +[0-9a-f]* <fnmac_d>:
16996 + *[0-9a-f]*:   e5 a0 1e ee     cop cp0,cr14,cr14,cr14,0x41
16997 + *[0-9a-f]*:   e5 a0 10 00     cop cp0,cr0,cr0,cr0,0x41
16998 + *[0-9a-f]*:   e5 a0 10 ee     cop cp0,cr0,cr14,cr14,0x41
16999 + *[0-9a-f]*:   e5 a0 1e 0e     cop cp0,cr14,cr0,cr14,0x41
17000 + *[0-9a-f]*:   e5 a0 1e e0     cop cp0,cr14,cr14,cr0,0x41
17001 + *[0-9a-f]*:   e5 a0 16 88     cop cp0,cr6,cr8,cr8,0x41
17002 + *[0-9a-f]*:   e5 a0 18 68     cop cp0,cr8,cr6,cr8,0x41
17003 + *[0-9a-f]*:   e5 a0 18 86     cop cp0,cr8,cr8,cr6,0x41
17004 +
17005 +[0-9a-f]* <fmsc_d>:
17006 + *[0-9a-f]*:   e5 a1 0e ee     cop cp0,cr14,cr14,cr14,0x42
17007 + *[0-9a-f]*:   e5 a1 00 00     cop cp0,cr0,cr0,cr0,0x42
17008 + *[0-9a-f]*:   e5 a1 00 ee     cop cp0,cr0,cr14,cr14,0x42
17009 + *[0-9a-f]*:   e5 a1 0e 0e     cop cp0,cr14,cr0,cr14,0x42
17010 + *[0-9a-f]*:   e5 a1 0e e0     cop cp0,cr14,cr14,cr0,0x42
17011 + *[0-9a-f]*:   e5 a1 06 88     cop cp0,cr6,cr8,cr8,0x42
17012 + *[0-9a-f]*:   e5 a1 08 68     cop cp0,cr8,cr6,cr8,0x42
17013 + *[0-9a-f]*:   e5 a1 08 86     cop cp0,cr8,cr8,cr6,0x42
17014 +
17015 +[0-9a-f]* <fnmsc_d>:
17016 + *[0-9a-f]*:   e5 a1 1e ee     cop cp0,cr14,cr14,cr14,0x43
17017 + *[0-9a-f]*:   e5 a1 10 00     cop cp0,cr0,cr0,cr0,0x43
17018 + *[0-9a-f]*:   e5 a1 10 ee     cop cp0,cr0,cr14,cr14,0x43
17019 + *[0-9a-f]*:   e5 a1 1e 0e     cop cp0,cr14,cr0,cr14,0x43
17020 + *[0-9a-f]*:   e5 a1 1e e0     cop cp0,cr14,cr14,cr0,0x43
17021 + *[0-9a-f]*:   e5 a1 16 88     cop cp0,cr6,cr8,cr8,0x43
17022 + *[0-9a-f]*:   e5 a1 18 68     cop cp0,cr8,cr6,cr8,0x43
17023 + *[0-9a-f]*:   e5 a1 18 86     cop cp0,cr8,cr8,cr6,0x43
17024 +
17025 +[0-9a-f]* <fmul_d>:
17026 + *[0-9a-f]*:   e5 a3 0e ee     cop cp0,cr14,cr14,cr14,0x46
17027 + *[0-9a-f]*:   e5 a3 00 00     cop cp0,cr0,cr0,cr0,0x46
17028 + *[0-9a-f]*:   e5 a3 00 ee     cop cp0,cr0,cr14,cr14,0x46
17029 + *[0-9a-f]*:   e5 a3 0e 0e     cop cp0,cr14,cr0,cr14,0x46
17030 + *[0-9a-f]*:   e5 a3 0e e0     cop cp0,cr14,cr14,cr0,0x46
17031 + *[0-9a-f]*:   e5 a3 06 88     cop cp0,cr6,cr8,cr8,0x46
17032 + *[0-9a-f]*:   e5 a3 08 68     cop cp0,cr8,cr6,cr8,0x46
17033 + *[0-9a-f]*:   e5 a3 08 86     cop cp0,cr8,cr8,cr6,0x46
17034 +
17035 +[0-9a-f]* <fnmul_d>:
17036 + *[0-9a-f]*:   e5 a3 1e ee     cop cp0,cr14,cr14,cr14,0x47
17037 + *[0-9a-f]*:   e5 a3 10 00     cop cp0,cr0,cr0,cr0,0x47
17038 + *[0-9a-f]*:   e5 a3 10 ee     cop cp0,cr0,cr14,cr14,0x47
17039 + *[0-9a-f]*:   e5 a3 1e 0e     cop cp0,cr14,cr0,cr14,0x47
17040 + *[0-9a-f]*:   e5 a3 1e e0     cop cp0,cr14,cr14,cr0,0x47
17041 + *[0-9a-f]*:   e5 a3 16 88     cop cp0,cr6,cr8,cr8,0x47
17042 + *[0-9a-f]*:   e5 a3 18 68     cop cp0,cr8,cr6,cr8,0x47
17043 + *[0-9a-f]*:   e5 a3 18 86     cop cp0,cr8,cr8,cr6,0x47
17044 +
17045 +[0-9a-f]* <fneg_d>:
17046 + *[0-9a-f]*:   e5 a4 0e e0     cop cp0,cr14,cr14,cr0,0x48
17047 + *[0-9a-f]*:   e5 a4 00 00     cop cp0,cr0,cr0,cr0,0x48
17048 + *[0-9a-f]*:   e5 a4 00 e0     cop cp0,cr0,cr14,cr0,0x48
17049 + *[0-9a-f]*:   e5 a4 0e 00     cop cp0,cr14,cr0,cr0,0x48
17050 + *[0-9a-f]*:   e5 a4 06 80     cop cp0,cr6,cr8,cr0,0x48
17051 + *[0-9a-f]*:   e5 a4 08 60     cop cp0,cr8,cr6,cr0,0x48
17052 +
17053 +[0-9a-f]* <fabs_d>:
17054 + *[0-9a-f]*:   e5 a4 1e e0     cop cp0,cr14,cr14,cr0,0x49
17055 + *[0-9a-f]*:   e5 a4 10 00     cop cp0,cr0,cr0,cr0,0x49
17056 + *[0-9a-f]*:   e5 a4 10 e0     cop cp0,cr0,cr14,cr0,0x49
17057 + *[0-9a-f]*:   e5 a4 1e 00     cop cp0,cr14,cr0,cr0,0x49
17058 + *[0-9a-f]*:   e5 a4 16 80     cop cp0,cr6,cr8,cr0,0x49
17059 + *[0-9a-f]*:   e5 a4 18 60     cop cp0,cr8,cr6,cr0,0x49
17060 +
17061 +[0-9a-f]* <fcmp_d>:
17062 + *[0-9a-f]*:   e5 a6 10 ee     cop cp0,cr0,cr14,cr14,0x4d
17063 + *[0-9a-f]*:   e5 a6 10 00     cop cp0,cr0,cr0,cr0,0x4d
17064 + *[0-9a-f]*:   e5 a6 10 0e     cop cp0,cr0,cr0,cr14,0x4d
17065 + *[0-9a-f]*:   e5 a6 10 e0     cop cp0,cr0,cr14,cr0,0x4d
17066 + *[0-9a-f]*:   e5 a6 10 68     cop cp0,cr0,cr6,cr8,0x4d
17067 + *[0-9a-f]*:   e5 a6 10 86     cop cp0,cr0,cr8,cr6,0x4d
17068 +
17069 +[0-9a-f]* <fmov_s>:
17070 + *[0-9a-f]*:   e1 a5 0f f0     cop cp0,cr15,cr15,cr0,0xa
17071 + *[0-9a-f]*:   e1 a5 00 00     cop cp0,cr0,cr0,cr0,0xa
17072 + *[0-9a-f]*:   e1 a5 0f 00     cop cp0,cr15,cr0,cr0,0xa
17073 + *[0-9a-f]*:   e1 a5 00 f0     cop cp0,cr0,cr15,cr0,0xa
17074 + *[0-9a-f]*:   e1 a5 08 70     cop cp0,cr8,cr7,cr0,0xa
17075 + *[0-9a-f]*:   e1 a5 07 80     cop cp0,cr7,cr8,cr0,0xa
17076 + *[0-9a-f]*:   ef af 0f 00     mvcr.w cp0,pc,cr15
17077 + *[0-9a-f]*:   ef a0 00 00     mvcr.w cp0,r0,cr0
17078 + *[0-9a-f]*:   ef af 00 00     mvcr.w cp0,pc,cr0
17079 + *[0-9a-f]*:   ef a0 0f 00     mvcr.w cp0,r0,cr15
17080 + *[0-9a-f]*:   ef a8 07 00     mvcr.w cp0,r8,cr7
17081 + *[0-9a-f]*:   ef a7 08 00     mvcr.w cp0,r7,cr8
17082 + *[0-9a-f]*:   ef af 0f 20     mvrc.w cp0,cr15,pc
17083 + *[0-9a-f]*:   ef a0 00 20     mvrc.w cp0,cr0,r0
17084 + *[0-9a-f]*:   ef a0 0f 20     mvrc.w cp0,cr15,r0
17085 + *[0-9a-f]*:   ef af 00 20     mvrc.w cp0,cr0,pc
17086 + *[0-9a-f]*:   ef a7 08 20     mvrc.w cp0,cr8,r7
17087 + *[0-9a-f]*:   ef a8 07 20     mvrc.w cp0,cr7,r8
17088 +
17089 +[0-9a-f]* <fmov_d>:
17090 + *[0-9a-f]*:   e5 a5 0e e0     cop cp0,cr14,cr14,cr0,0x4a
17091 + *[0-9a-f]*:   e5 a5 00 00     cop cp0,cr0,cr0,cr0,0x4a
17092 + *[0-9a-f]*:   e5 a5 0e 00     cop cp0,cr14,cr0,cr0,0x4a
17093 + *[0-9a-f]*:   e5 a5 00 e0     cop cp0,cr0,cr14,cr0,0x4a
17094 + *[0-9a-f]*:   e5 a5 08 60     cop cp0,cr8,cr6,cr0,0x4a
17095 + *[0-9a-f]*:   e5 a5 06 80     cop cp0,cr6,cr8,cr0,0x4a
17096 + *[0-9a-f]*:   ef ae 0e 10     mvcr.d cp0,lr,cr14
17097 + *[0-9a-f]*:   ef a0 00 10     mvcr.d cp0,r0,cr0
17098 + *[0-9a-f]*:   ef ae 00 10     mvcr.d cp0,lr,cr0
17099 + *[0-9a-f]*:   ef a0 0e 10     mvcr.d cp0,r0,cr14
17100 + *[0-9a-f]*:   ef a8 06 10     mvcr.d cp0,r8,cr6
17101 + *[0-9a-f]*:   ef a6 08 10     mvcr.d cp0,r6,cr8
17102 + *[0-9a-f]*:   ef ae 0e 30     mvrc.d cp0,cr14,lr
17103 + *[0-9a-f]*:   ef a0 00 30     mvrc.d cp0,cr0,r0
17104 + *[0-9a-f]*:   ef a0 0e 30     mvrc.d cp0,cr14,r0
17105 + *[0-9a-f]*:   ef ae 00 30     mvrc.d cp0,cr0,lr
17106 + *[0-9a-f]*:   ef a6 08 30     mvrc.d cp0,cr8,r6
17107 + *[0-9a-f]*:   ef a8 06 30     mvrc.d cp0,cr6,r8
17108 +
17109 +[0-9a-f]* <fcasts_d>:
17110 + *[0-9a-f]*:   e1 a7 1f e0     cop cp0,cr15,cr14,cr0,0xf
17111 + *[0-9a-f]*:   e1 a7 10 00     cop cp0,cr0,cr0,cr0,0xf
17112 + *[0-9a-f]*:   e1 a7 1f 00     cop cp0,cr15,cr0,cr0,0xf
17113 + *[0-9a-f]*:   e1 a7 10 e0     cop cp0,cr0,cr14,cr0,0xf
17114 + *[0-9a-f]*:   e1 a7 18 60     cop cp0,cr8,cr6,cr0,0xf
17115 + *[0-9a-f]*:   e1 a7 17 80     cop cp0,cr7,cr8,cr0,0xf
17116 +
17117 +[0-9a-f]* <fcastd_s>:
17118 + *[0-9a-f]*:   e1 a8 0e f0     cop cp0,cr14,cr15,cr0,0x10
17119 + *[0-9a-f]*:   e1 a8 00 00     cop cp0,cr0,cr0,cr0,0x10
17120 + *[0-9a-f]*:   e1 a8 0e 00     cop cp0,cr14,cr0,cr0,0x10
17121 + *[0-9a-f]*:   e1 a8 00 f0     cop cp0,cr0,cr15,cr0,0x10
17122 + *[0-9a-f]*:   e1 a8 08 70     cop cp0,cr8,cr7,cr0,0x10
17123 + *[0-9a-f]*:   e1 a8 06 80     cop cp0,cr6,cr8,cr0,0x10
17124 --- /dev/null
17125 +++ b/gas/testsuite/gas/avr32/fpinsn.s
17126 @@ -0,0 +1,266 @@
17127 +
17128 +       .text
17129 +       .global fadd_s
17130 +fadd_s:
17131 +       fadd.s fr15, fr15, fr15
17132 +       fadd.s fr0, fr0, fr0
17133 +       fadd.s fr0, fr15, fr15
17134 +       fadd.s fr15, fr0, fr15
17135 +       fadd.s fr15, fr15, fr0
17136 +       fadd.s fr7, fr8, fr8
17137 +       fadd.s fr8, fr7, fr8
17138 +       fadd.s fr8, fr8, fr7
17139 +       .global fsub_s
17140 +fsub_s:
17141 +       fsub.s fr15, fr15, fr15
17142 +       fsub.s fr0, fr0, fr0
17143 +       fsub.s fr0, fr15, fr15
17144 +       fsub.s fr15, fr0, fr15
17145 +       fsub.s fr15, fr15, fr0
17146 +       fsub.s fr7, fr8, fr8
17147 +       fsub.s fr8, fr7, fr8
17148 +       fsub.s fr8, fr8, fr7
17149 +       .global fmac_s
17150 +fmac_s:
17151 +       fmac.s fr15, fr15, fr15
17152 +       fmac.s fr0, fr0, fr0
17153 +       fmac.s fr0, fr15, fr15
17154 +       fmac.s fr15, fr0, fr15
17155 +       fmac.s fr15, fr15, fr0
17156 +       fmac.s fr7, fr8, fr8
17157 +       fmac.s fr8, fr7, fr8
17158 +       fmac.s fr8, fr8, fr7
17159 +       .global fnmac_s
17160 +fnmac_s:
17161 +       fnmac.s fr15, fr15, fr15
17162 +       fnmac.s fr0, fr0, fr0
17163 +       fnmac.s fr0, fr15, fr15
17164 +       fnmac.s fr15, fr0, fr15
17165 +       fnmac.s fr15, fr15, fr0
17166 +       fnmac.s fr7, fr8, fr8
17167 +       fnmac.s fr8, fr7, fr8
17168 +       fnmac.s fr8, fr8, fr7
17169 +       .global fmsc_s
17170 +fmsc_s:
17171 +       fmsc.s fr15, fr15, fr15
17172 +       fmsc.s fr0, fr0, fr0
17173 +       fmsc.s fr0, fr15, fr15
17174 +       fmsc.s fr15, fr0, fr15
17175 +       fmsc.s fr15, fr15, fr0
17176 +       fmsc.s fr7, fr8, fr8
17177 +       fmsc.s fr8, fr7, fr8
17178 +       fmsc.s fr8, fr8, fr7
17179 +       .global fnmsc_s
17180 +fnmsc_s:
17181 +       fnmsc.s fr15, fr15, fr15
17182 +       fnmsc.s fr0, fr0, fr0
17183 +       fnmsc.s fr0, fr15, fr15
17184 +       fnmsc.s fr15, fr0, fr15
17185 +       fnmsc.s fr15, fr15, fr0
17186 +       fnmsc.s fr7, fr8, fr8
17187 +       fnmsc.s fr8, fr7, fr8
17188 +       fnmsc.s fr8, fr8, fr7
17189 +       .global fmul_s
17190 +fmul_s:
17191 +       fmul.s fr15, fr15, fr15
17192 +       fmul.s fr0, fr0, fr0
17193 +       fmul.s fr0, fr15, fr15
17194 +       fmul.s fr15, fr0, fr15
17195 +       fmul.s fr15, fr15, fr0
17196 +       fmul.s fr7, fr8, fr8
17197 +       fmul.s fr8, fr7, fr8
17198 +       fmul.s fr8, fr8, fr7
17199 +       .global fnmul_s
17200 +fnmul_s:
17201 +       fnmul.s fr15, fr15, fr15
17202 +       fnmul.s fr0, fr0, fr0
17203 +       fnmul.s fr0, fr15, fr15
17204 +       fnmul.s fr15, fr0, fr15
17205 +       fnmul.s fr15, fr15, fr0
17206 +       fnmul.s fr7, fr8, fr8
17207 +       fnmul.s fr8, fr7, fr8
17208 +       fnmul.s fr8, fr8, fr7
17209 +       .global fneg_s
17210 +fneg_s:
17211 +       fneg.s fr15, fr15
17212 +       fneg.s fr0, fr0
17213 +       fneg.s fr0, fr15
17214 +       fneg.s fr15, fr0
17215 +       fneg.s fr7, fr8
17216 +       fneg.s fr8, fr7
17217 +       .global fabs_s
17218 +fabs_s:
17219 +       fabs.s fr15, fr15
17220 +       fabs.s fr0, fr0
17221 +       fabs.s fr0, fr15
17222 +       fabs.s fr15, fr0
17223 +       fabs.s fr7, fr8
17224 +       fabs.s fr8, fr7
17225 +       .global fcmp_s
17226 +fcmp_s:
17227 +       fcmp.s fr15, fr15
17228 +       fcmp.s fr0, fr0
17229 +       fcmp.s fr0, fr15
17230 +       fcmp.s fr15, fr0
17231 +       fcmp.s fr7, fr8
17232 +       fcmp.s fr8, fr7
17233 +       .global fadd_d
17234 +fadd_d:
17235 +       fadd.d fr14, fr14, fr14
17236 +       fadd.d fr0, fr0, fr0
17237 +       fadd.d fr0, fr14, fr14
17238 +       fadd.d fr14, fr0, fr14
17239 +       fadd.d fr14, fr14, fr0
17240 +       fadd.d fr6, fr8, fr8
17241 +       fadd.d fr8, fr6, fr8
17242 +       fadd.d fr8, fr8, fr6
17243 +       .global fsub_d
17244 +fsub_d:
17245 +       fsub.d fr14, fr14, fr14
17246 +       fsub.d fr0, fr0, fr0
17247 +       fsub.d fr0, fr14, fr14
17248 +       fsub.d fr14, fr0, fr14
17249 +       fsub.d fr14, fr14, fr0
17250 +       fsub.d fr6, fr8, fr8
17251 +       fsub.d fr8, fr6, fr8
17252 +       fsub.d fr8, fr8, fr6
17253 +       .global fmac_d
17254 +fmac_d:
17255 +       fmac.d fr14, fr14, fr14
17256 +       fmac.d fr0, fr0, fr0
17257 +       fmac.d fr0, fr14, fr14
17258 +       fmac.d fr14, fr0, fr14
17259 +       fmac.d fr14, fr14, fr0
17260 +       fmac.d fr6, fr8, fr8
17261 +       fmac.d fr8, fr6, fr8
17262 +       fmac.d fr8, fr8, fr6
17263 +       .global fnmac_d
17264 +fnmac_d:
17265 +       fnmac.d fr14, fr14, fr14
17266 +       fnmac.d fr0, fr0, fr0
17267 +       fnmac.d fr0, fr14, fr14
17268 +       fnmac.d fr14, fr0, fr14
17269 +       fnmac.d fr14, fr14, fr0
17270 +       fnmac.d fr6, fr8, fr8
17271 +       fnmac.d fr8, fr6, fr8
17272 +       fnmac.d fr8, fr8, fr6
17273 +       .global fmsc_d
17274 +fmsc_d:
17275 +       fmsc.d fr14, fr14, fr14
17276 +       fmsc.d fr0, fr0, fr0
17277 +       fmsc.d fr0, fr14, fr14
17278 +       fmsc.d fr14, fr0, fr14
17279 +       fmsc.d fr14, fr14, fr0
17280 +       fmsc.d fr6, fr8, fr8
17281 +       fmsc.d fr8, fr6, fr8
17282 +       fmsc.d fr8, fr8, fr6
17283 +       .global fnmsc_d
17284 +fnmsc_d:
17285 +       fnmsc.d fr14, fr14, fr14
17286 +       fnmsc.d fr0, fr0, fr0
17287 +       fnmsc.d fr0, fr14, fr14
17288 +       fnmsc.d fr14, fr0, fr14
17289 +       fnmsc.d fr14, fr14, fr0
17290 +       fnmsc.d fr6, fr8, fr8
17291 +       fnmsc.d fr8, fr6, fr8
17292 +       fnmsc.d fr8, fr8, fr6
17293 +       .global fmul_d
17294 +fmul_d:
17295 +       fmul.d fr14, fr14, fr14
17296 +       fmul.d fr0, fr0, fr0
17297 +       fmul.d fr0, fr14, fr14
17298 +       fmul.d fr14, fr0, fr14
17299 +       fmul.d fr14, fr14, fr0
17300 +       fmul.d fr6, fr8, fr8
17301 +       fmul.d fr8, fr6, fr8
17302 +       fmul.d fr8, fr8, fr6
17303 +       .global fnmul_d
17304 +fnmul_d:
17305 +       fnmul.d fr14, fr14, fr14
17306 +       fnmul.d fr0, fr0, fr0
17307 +       fnmul.d fr0, fr14, fr14
17308 +       fnmul.d fr14, fr0, fr14
17309 +       fnmul.d fr14, fr14, fr0
17310 +       fnmul.d fr6, fr8, fr8
17311 +       fnmul.d fr8, fr6, fr8
17312 +       fnmul.d fr8, fr8, fr6
17313 +       .global fneg_d
17314 +fneg_d:
17315 +       fneg.d fr14, fr14
17316 +       fneg.d fr0, fr0
17317 +       fneg.d fr0, fr14
17318 +       fneg.d fr14, fr0
17319 +       fneg.d fr6, fr8
17320 +       fneg.d fr8, fr6
17321 +       .global fabs_d
17322 +fabs_d:
17323 +       fabs.d fr14, fr14
17324 +       fabs.d fr0, fr0
17325 +       fabs.d fr0, fr14
17326 +       fabs.d fr14, fr0
17327 +       fabs.d fr6, fr8
17328 +       fabs.d fr8, fr6
17329 +       .global fcmp_d
17330 +fcmp_d:
17331 +       fcmp.d fr14, fr14
17332 +       fcmp.d fr0, fr0
17333 +       fcmp.d fr0, fr14
17334 +       fcmp.d fr14, fr0
17335 +       fcmp.d fr6, fr8
17336 +       fcmp.d fr8, fr6
17337 +       .global fmov_s
17338 +fmov_s:
17339 +       fmov.s fr15, fr15
17340 +       fmov.s fr0, fr0
17341 +       fmov.s fr15, fr0
17342 +       fmov.s fr0, fr15
17343 +       fmov.s fr8, fr7
17344 +       fmov.s fr7, fr8
17345 +       fmov.s pc, fr15
17346 +       fmov.s r0, fr0
17347 +       fmov.s pc, fr0
17348 +       fmov.s r0, fr15
17349 +       fmov.s r8, fr7
17350 +       fmov.s r7, fr8
17351 +       fmov.s fr15, pc
17352 +       fmov.s fr0, r0
17353 +       fmov.s fr15, r0
17354 +       fmov.s fr0, pc
17355 +       fmov.s fr8, r7
17356 +       fmov.s fr7, r8
17357 +       .global fmov_d
17358 +fmov_d:
17359 +       fmov.d fr14, fr14
17360 +       fmov.d fr0, fr0
17361 +       fmov.d fr14, fr0
17362 +       fmov.d fr0, fr14
17363 +       fmov.d fr8, fr6
17364 +       fmov.d fr6, fr8
17365 +       fmov.d lr, fr14
17366 +       fmov.d r0, fr0
17367 +       fmov.d lr, fr0
17368 +       fmov.d r0, fr14
17369 +       fmov.d r8, fr6
17370 +       fmov.d r6, fr8
17371 +       fmov.d fr14, lr
17372 +       fmov.d fr0, r0
17373 +       fmov.d fr14, r0
17374 +       fmov.d fr0, lr
17375 +       fmov.d fr8, r6
17376 +       fmov.d fr6, r8
17377 +       .global fcasts_d
17378 +fcasts_d:
17379 +       fcasts.d fr15, fr14
17380 +       fcasts.d fr0, fr0
17381 +       fcasts.d fr15, fr0
17382 +       fcasts.d fr0, fr14
17383 +       fcasts.d fr8, fr6
17384 +       fcasts.d fr7, fr8
17385 +       .global fcastd_s
17386 +fcastd_s:
17387 +       fcastd.s fr14, fr15
17388 +       fcastd.s fr0, fr0
17389 +       fcastd.s fr14, fr0
17390 +       fcastd.s fr0, fr15
17391 +       fcastd.s fr8, fr7
17392 +       fcastd.s fr6, fr8
17393 --- /dev/null
17394 +++ b/gas/testsuite/gas/avr32/hwrd-lwrd.d
17395 @@ -0,0 +1,47 @@
17396 +#as:
17397 +#objdump: -dr
17398 +#name: hwrd-lwrd
17399 +
17400 +.*: +file format .*
17401 +
17402 +Disassembly of section \.text:
17403 +
17404 +00000000 <test_hwrd>:
17405 +   0:  e0 60 87 65     mov r0,34661
17406 +   4:  e0 60 12 34     mov r0,4660
17407 +   8:  e0 60 00 00     mov r0,0
17408 +                       8: R_AVR32_HI16 \.text\+0x60
17409 +   c:  e0 60 00 00     mov r0,0
17410 +                       c: R_AVR32_HI16 extsym1
17411 +  10:  ea 10 87 65     orh r0,0x8765
17412 +  14:  ea 10 12 34     orh r0,0x1234
17413 +  18:  ea 10 00 00     orh r0,0x0
17414 +                       18: R_AVR32_HI16        \.text\+0x60
17415 +  1c:  ea 10 00 00     orh r0,0x0
17416 +                       1c: R_AVR32_HI16        extsym1
17417 +  20:  e4 10 87 65     andh r0,0x8765
17418 +  24:  e4 10 12 34     andh r0,0x1234
17419 +  28:  e4 10 00 00     andh r0,0x0
17420 +                       28: R_AVR32_HI16        \.text\+0x60
17421 +  2c:  e4 10 00 00     andh r0,0x0
17422 +                       2c: R_AVR32_HI16        extsym1
17423 +
17424 +00000030 <test_lwrd>:
17425 +  30:  e0 60 43 21     mov r0,17185
17426 +  34:  e0 60 56 78     mov r0,22136
17427 +  38:  e0 60 00 00     mov r0,0
17428 +                       38: R_AVR32_LO16        \.text\+0x60
17429 +  3c:  e0 60 00 00     mov r0,0
17430 +                       3c: R_AVR32_LO16        extsym1
17431 +  40:  e8 10 43 21     orl r0,0x4321
17432 +  44:  e8 10 56 78     orl r0,0x5678
17433 +  48:  e8 10 00 00     orl r0,0x0
17434 +                       48: R_AVR32_LO16        \.text\+0x60
17435 +  4c:  e8 10 00 00     orl r0,0x0
17436 +                       4c: R_AVR32_LO16        extsym1
17437 +  50:  e0 10 43 21     andl r0,0x4321
17438 +  54:  e0 10 56 78     andl r0,0x5678
17439 +  58:  e0 10 00 00     andl r0,0x0
17440 +                       58: R_AVR32_LO16        \.text\+0x60
17441 +  5c:  e0 10 00 00     andl r0,0x0
17442 +                       5c: R_AVR32_LO16        extsym1
17443 --- /dev/null
17444 +++ b/gas/testsuite/gas/avr32/hwrd-lwrd.s
17445 @@ -0,0 +1,39 @@
17446 +
17447 +        .equ    sym1, 0x12345678
17448 +
17449 +        .text
17450 +        .global test_hwrd
17451 +test_hwrd:
17452 +        mov     r0, hi(0x87654321)
17453 +        mov     r0, hi(sym1)
17454 +        mov     r0, hi(sym2)
17455 +        mov     r0, hi(extsym1)
17456 +
17457 +        orh    r0, hi(0x87654321)
17458 +        orh    r0, hi(sym1)
17459 +        orh    r0, hi(sym2)
17460 +        orh    r0, hi(extsym1)
17461 +
17462 +        andh   r0, hi(0x87654321)
17463 +        andh   r0, hi(sym1)
17464 +        andh   r0, hi(sym2)
17465 +        andh   r0, hi(extsym1)
17466 +
17467 +        .global test_lwrd
17468 +test_lwrd:
17469 +        mov     r0, lo(0x87654321)
17470 +        mov     r0, lo(sym1)
17471 +        mov     r0, lo(sym2)
17472 +        mov     r0, lo(extsym1)
17473 +
17474 +        orl    r0, lo(0x87654321)
17475 +        orl    r0, lo(sym1)
17476 +        orl    r0, lo(sym2)
17477 +        orl    r0, lo(extsym1)
17478 +
17479 +        andl   r0, lo(0x87654321)
17480 +        andl   r0, lo(sym1)
17481 +        andl   r0, lo(sym2)
17482 +        andl   r0, lo(extsym1)
17483 +
17484 +sym2:
17485 --- /dev/null
17486 +++ b/gas/testsuite/gas/avr32/jmptable.d
17487 @@ -0,0 +1,20 @@
17488 +#source: jmptable.s
17489 +#as:
17490 +#objdump: -dr
17491 +#name: jmptable
17492 +
17493 +.*: +file format .*
17494 +
17495 +Disassembly of section \.text:
17496 +
17497 +00000000 <jmptable_test>:
17498 +   0:  fe c8 ff f4     sub r8,pc,-12
17499 +   4:  f0 00 00 2f     add pc,r8,r0<<0x2
17500 +   8:  d7 03           nop
17501 +   a:  00 00           add r0,r0
17502 +   c:  c0 38           rjmp 12 <jmptable_test\+0x12>
17503 +   e:  c0 38           rjmp 14 <jmptable_test\+0x14>
17504 +  10:  c0 38           rjmp 16 <jmptable_test\+0x16>
17505 +  12:  d7 03           nop
17506 +  14:  d7 03           nop
17507 +  16:  d7 03           nop
17508 --- /dev/null
17509 +++ b/gas/testsuite/gas/avr32/jmptable_linkrelax.d
17510 @@ -0,0 +1,25 @@
17511 +#source: jmptable.s
17512 +#as: --linkrelax
17513 +#objdump: -dr
17514 +#name: jmptable_linkrelax
17515 +
17516 +.*: +file format .*
17517 +
17518 +Disassembly of section \.text:
17519 +
17520 +00000000 <jmptable_test>:
17521 +   0:  fe c8 00 00     sub r8,pc,0
17522 +                       0: R_AVR32_16N_PCREL    \.text\+0xc
17523 +   4:  f0 00 00 2f     add pc,r8,r0<<0x2
17524 +   8:  d7 03           nop
17525 +   a:  00 00           add r0,r0
17526 +                       a: R_AVR32_ALIGN        \*ABS\*\+0x2
17527 +   c:  c0 08           rjmp c <jmptable_test\+0xc>
17528 +                       c: R_AVR32_11H_PCREL    \.text\+0x12
17529 +   e:  c0 08           rjmp e <jmptable_test\+0xe>
17530 +                       e: R_AVR32_11H_PCREL    \.text\+0x14
17531 +  10:  c0 08           rjmp 10 <jmptable_test\+0x10>
17532 +                       10: R_AVR32_11H_PCREL   \.text\+0x16
17533 +  12:  d7 03           nop
17534 +  14:  d7 03           nop
17535 +  16:  d7 03           nop
17536 --- /dev/null
17537 +++ b/gas/testsuite/gas/avr32/jmptable.s
17538 @@ -0,0 +1,14 @@
17539 +
17540 +       .text
17541 +       .global jmptable_test
17542 +jmptable_test:
17543 +       sub     r8, pc, -(.L1 - .)
17544 +       add     pc, r8, r0 << 2
17545 +       nop
17546 +       .align  2
17547 +.L1:   rjmp    1f
17548 +       rjmp    2f
17549 +       rjmp    3f
17550 +1:     nop
17551 +2:     nop
17552 +3:     nop
17553 --- /dev/null
17554 +++ b/gas/testsuite/gas/avr32/lda_nopic.d
17555 @@ -0,0 +1,32 @@
17556 +#source: lda.s
17557 +#as:
17558 +#objdump: -dr
17559 +#name: lda_nopic
17560 +
17561 +.*: +file format .*
17562 +
17563 +Disassembly of section \.text:
17564 +
17565 +00000000 <lda_test>:
17566 +       0:      f2 c8 00 00     sub r8,r9,0
17567 +
17568 +00000004 <far_negative>:
17569 +       4:      f6 ca 00 00     sub r10,r11,0
17570 +       ...
17571 +    8000:      fe c0 7f fc     sub r0,pc,32764
17572 +    8004:      48 31           lddpc r1,8010 <far_negative\+0x800c>
17573 +    8006:      48 42           lddpc r2,8014 <far_negative\+0x8010>
17574 +    8008:      48 43           lddpc r3,8018 <far_negative\+0x8014>
17575 +    800a:      48 54           lddpc r4,801c <far_negative\+0x8018>
17576 +    800c:      fe c5 80 04     sub r5,pc,-32764
17577 +       ...
17578 +                       8010: R_AVR32_32_CPENT  \.text
17579 +                       8014: R_AVR32_32_CPENT  \.data
17580 +                       8018: R_AVR32_32_CPENT  undefined
17581 +                       801c: R_AVR32_32_CPENT  \.text\+0x1001c
17582 +
17583 +00010008 <far_positive>:
17584 +   10008:      fa cc 00 00     sub r12,sp,0
17585 +       ...
17586 +0001001c <toofar_positive>:
17587 +   1001c:      fe ce 00 00     sub lr,pc,0
17588 --- /dev/null
17589 +++ b/gas/testsuite/gas/avr32/lda_nopic_linkrelax.d
17590 @@ -0,0 +1,41 @@
17591 +#source: lda.s
17592 +#as: --linkrelax
17593 +#objdump: -dr
17594 +#name: lda_nopic_linkrelax
17595 +
17596 +.*: +file format .*
17597 +
17598 +Disassembly of section \.text:
17599 +
17600 +00000000 <lda_test>:
17601 +       0:      f2 c8 00 00     sub r8,r9,0
17602 +
17603 +00000004 <far_negative>:
17604 +       4:      f6 ca 00 00     sub r10,r11,0
17605 +       \.\.\.
17606 +    8000:      48 00           lddpc r0,8000 <far_negative\+0x7ffc>
17607 +                       8000: R_AVR32_9W_CP     \.text\+0x800c
17608 +    8002:      48 01           lddpc r1,8000 <far_negative\+0x7ffc>
17609 +                       8002: R_AVR32_9W_CP     \.text\+0x8010
17610 +    8004:      48 02           lddpc r2,8004 <far_negative\+0x8000>
17611 +                       8004: R_AVR32_9W_CP     \.text\+0x8014
17612 +    8006:      48 03           lddpc r3,8004 <far_negative\+0x8000>
17613 +                       8006: R_AVR32_9W_CP     \.text\+0x8018
17614 +    8008:      48 04           lddpc r4,8008 <far_negative\+0x8004>
17615 +                       8008: R_AVR32_9W_CP     \.text\+0x801c
17616 +    800a:      48 05           lddpc r5,8008 <far_negative\+0x8004>
17617 +                       800a: R_AVR32_9W_CP     \.text\+0x8020
17618 +       \.\.\.
17619 +                       800c: R_AVR32_ALIGN     \*ABS\*\+0x2
17620 +                       800c: R_AVR32_32_CPENT  \.text\+0x4
17621 +                       8010: R_AVR32_32_CPENT  \.text
17622 +                       8014: R_AVR32_32_CPENT  \.data
17623 +                       8018: R_AVR32_32_CPENT  undefined
17624 +                       801c: R_AVR32_32_CPENT  \.text\+0x10020
17625 +                       8020: R_AVR32_32_CPENT  \.text\+0x1000c
17626 +
17627 +0001000c <far_positive>:
17628 +   1000c:      fa cc 00 00     sub r12,sp,0
17629 +       \.\.\.
17630 +00010020 <toofar_positive>:
17631 +   10020:      fe ce 00 00     sub lr,pc,0
17632 --- /dev/null
17633 +++ b/gas/testsuite/gas/avr32/lda_pic.d
17634 @@ -0,0 +1,32 @@
17635 +#source: lda.s
17636 +#as: --pic
17637 +#objdump: -dr
17638 +#name: lda_pic
17639 +
17640 +.*: +file format .*
17641 +
17642 +Disassembly of section \.text:
17643 +
17644 +00000000 <lda_test>:
17645 +       0:      f2 c8 00 00     sub r8,r9,0
17646 +
17647 +00000004 <far_negative>:
17648 +       4:      f6 ca 00 00     sub r10,r11,0
17649 +       ...
17650 +    8000:      fe c0 7f fc     sub r0,pc,32764
17651 +    8004:      ec f1 00 00     ld.w r1,r6\[0\]
17652 +                       8004: R_AVR32_GOT16S    toofar_negative
17653 +    8008:      ec f2 00 00     ld.w r2,r6\[0\]
17654 +                       8008: R_AVR32_GOT16S    different_section
17655 +    800c:      ec f3 00 00     ld.w r3,r6\[0\]
17656 +                       800c: R_AVR32_GOT16S    undefined
17657 +    8010:      ec f4 00 00     ld.w r4,r6\[0\]
17658 +                       8010: R_AVR32_GOT16S    toofar_positive
17659 +    8014:      fe c5 80 14     sub r5,pc,-32748
17660 +       ...
17661 +
17662 +00010000 <far_positive>:
17663 +   10000:      fa cc 00 00     sub r12,sp,0
17664 +       ...
17665 +00010014 <toofar_positive>:
17666 +   10014:      fe ce 00 00     sub lr,pc,0
17667 --- /dev/null
17668 +++ b/gas/testsuite/gas/avr32/lda_pic_linkrelax.d
17669 @@ -0,0 +1,40 @@
17670 +#source: lda.s
17671 +#as: --pic --linkrelax
17672 +#objdump: -dr
17673 +#name: lda_pic_linkrelax
17674 +
17675 +.*: +file format .*
17676 +
17677 +Disassembly of section \.text:
17678 +
17679 +00000000 <lda_test>:
17680 +       0:      f2 c8 00 00     sub r8,r9,0
17681 +
17682 +00000004 <far_negative>:
17683 +       4:      f6 ca 00 00     sub r10,r11,0
17684 +       ...
17685 +    8000:      e0 60 00 00     mov r0,0
17686 +                       8000: R_AVR32_LDA_GOT   far_negative
17687 +    8004:      ec 00 03 20     ld\.w r0,r6\[r0<<0x2\]
17688 +    8008:      e0 61 00 00     mov r1,0
17689 +                       8008: R_AVR32_LDA_GOT   toofar_negative
17690 +    800c:      ec 01 03 21     ld\.w r1,r6\[r1<<0x2\]
17691 +    8010:      e0 62 00 00     mov r2,0
17692 +                       8010: R_AVR32_LDA_GOT   different_section
17693 +    8014:      ec 02 03 22     ld\.w r2,r6\[r2<<0x2\]
17694 +    8018:      e0 63 00 00     mov r3,0
17695 +                       8018: R_AVR32_LDA_GOT   undefined
17696 +    801c:      ec 03 03 23     ld\.w r3,r6\[r3<<0x2\]
17697 +    8020:      e0 64 00 00     mov r4,0
17698 +                       8020: R_AVR32_LDA_GOT   toofar_positive
17699 +    8024:      ec 04 03 24     ld\.w r4,r6\[r4<<0x2\]
17700 +    8028:      e0 65 00 00     mov r5,0
17701 +                       8028: R_AVR32_LDA_GOT   far_positive
17702 +    802c:      ec 05 03 25     ld\.w r5,r6\[r5<<0x2\]
17703 +       ...
17704 +
17705 +00010018 <far_positive>:
17706 +   10018:      fa cc 00 00     sub r12,sp,0
17707 +       ...
17708 +0001002c <toofar_positive>:
17709 +   1002c:      fe ce 00 00     sub lr,pc,0
17710 --- /dev/null
17711 +++ b/gas/testsuite/gas/avr32/lda.s
17712 @@ -0,0 +1,30 @@
17713 +
17714 +       .text
17715 +       .global lda_test
17716 +lda_test:
17717 +toofar_negative:
17718 +       sub     r8, r9, 0
17719 +far_negative:
17720 +       sub     r10, r11, 0
17721 +
17722 +       .fill   32760, 1, 0x00
17723 +
17724 +       lda.w   r0, far_negative
17725 +       lda.w   r1, toofar_negative
17726 +       lda.w   r2, different_section
17727 +       lda.w   r3, undefined
17728 +       lda.w   r4, toofar_positive
17729 +       lda.w   r5, far_positive
17730 +
17731 +       .cpool
17732 +
17733 +       .fill   32744, 1, 0x00
17734 +far_positive:
17735 +       sub     r12, sp, 0
17736 +       .fill   16, 1, 0x00
17737 +toofar_positive:
17738 +       sub     lr, pc, 0
17739 +
17740 +       .data
17741 +different_section:
17742 +       .long   0x12345678
17743 --- /dev/null
17744 +++ b/gas/testsuite/gas/avr32/pcrel.d
17745 @@ -0,0 +1,64 @@
17746 +#as:
17747 +#objdump: -dr
17748 +#name: pcrel
17749 +
17750 +.*: +file format .*
17751 +
17752 +Disassembly of section \.text:
17753 +
17754 +00000000 <test_rjmp>:
17755 +   0:  d7 03           nop
17756 +   2:  c0 28           rjmp 6 <test_rjmp\+0x6>
17757 +   4:  d7 03           nop
17758 +   6:  e0 8f 00 00     bral 6 <test_rjmp\+0x6>
17759 +                       6: R_AVR32_22H_PCREL    extsym10
17760 +
17761 +0000000a <test_rcall>:
17762 +   a:  d7 03           nop
17763 +0000000c <test_rcall2>:
17764 +   c:  c0 2c           rcall 10 <test_rcall2\+0x4>
17765 +   e:  d7 03           nop
17766 +  10:  e0 a0 00 00     rcall 10 <test_rcall2\+0x4>
17767 +                       10: R_AVR32_22H_PCREL   extsym21
17768 +
17769 +00000014 <test_branch>:
17770 +  14:  c0 31           brne 1a <test_branch\+0x6>
17771 +  16:  e0 8f 00 00     bral 16 <test_branch\+0x2>
17772 +                       16: R_AVR32_22H_PCREL   test_branch
17773 +  1a:  e0 80 00 00     breq 1a <test_branch\+0x6>
17774 +                       1a: R_AVR32_22H_PCREL   extsym21
17775 +
17776 +0000001e <test_lddpc>:
17777 +  1e:  48 30           lddpc r0,28 <sym1>
17778 +  20:  48 20           lddpc r0,28 <sym1>
17779 +  22:  fe f0 00 00     ld.w r0,pc\[0\]
17780 +                       22: R_AVR32_16B_PCREL   extsym16
17781 +       \.\.\.
17782 +
17783 +00000028 <sym1>:
17784 +  28:  d7 03           nop
17785 +  2a:  d7 03           nop
17786 +
17787 +0000002c <test_local>:
17788 +  2c:  48 20           lddpc r0,34 <test_local\+0x8>
17789 +  2e:  48 30           lddpc r0,38 <test_local\+0xc>
17790 +  30:  48 20           lddpc r0,38 <test_local\+0xc>
17791 +  32:  00 00           add r0,r0
17792 +  34:  d7 03           nop
17793 +  36:  d7 03           nop
17794 +  38:  d7 03           nop
17795 +  3a:  d7 03           nop
17796 +
17797 +Disassembly of section \.text\.init:
17798 +
17799 +00000000 <test_inter_section>:
17800 +   0:  e0 a0 .. ..     rcall [0-9a-f]+ <.*>
17801 +                       0: R_AVR32_22H_PCREL    test_rcall
17802 +   4:  d7 03           nop
17803 +   6:  e0 a0 .. ..     rcall [0-9a-f]+ <.*>
17804 +                       6: R_AVR32_22H_PCREL    test_rcall
17805 +   a:  e0 a0 .. ..     rcall [0-9a-z]+ <.*>
17806 +                       a: R_AVR32_22H_PCREL    \.text\+0xc
17807 +   e:  d7 03           nop
17808 +  10:  e0 a0 .. ..     rcall [0-9a-f]+ <.*>
17809 +                       10: R_AVR32_22H_PCREL   \.text\+0xc
17810 --- /dev/null
17811 +++ b/gas/testsuite/gas/avr32/pcrel.s
17812 @@ -0,0 +1,57 @@
17813 +
17814 +        .text
17815 +        .global test_rjmp
17816 +test_rjmp:
17817 +        nop
17818 +        rjmp    0f
17819 +        nop
17820 +0:      rjmp    extsym10
17821 +
17822 +        .global test_rcall
17823 +test_rcall:
17824 +        nop
17825 +test_rcall2:
17826 +        rcall   0f
17827 +        nop
17828 +0:      rcall   extsym21
17829 +
17830 +        .global test_branch
17831 +test_branch:
17832 +        brne    0f
17833 +       /* This will generate a reloc since test_branch is global */
17834 +        bral    test_branch
17835 +0:     breq    extsym21
17836 +
17837 +        .global test_lddpc
17838 +test_lddpc:
17839 +        lddpc   r0,sym1
17840 +        lddpc   r0,sym1
17841 +        lddpc   r0,extsym16
17842 +
17843 +        .align 2
17844 +sym1:   nop
17845 +        nop
17846 +
17847 +       .global test_local
17848 +test_local:
17849 +       lddpc   r0, .LC1
17850 +       lddpc   r0, .LC2
17851 +       lddpc   r0, .LC1 + 0x4
17852 +
17853 +       .align  2
17854 +.LC1:
17855 +       nop
17856 +       nop
17857 +.LC2:
17858 +       nop
17859 +       nop
17860 +
17861 +       .section .text.init,"ax"
17862 +       .global test_inter_section
17863 +test_inter_section:
17864 +       rcall   test_rcall
17865 +       nop
17866 +       rcall   test_rcall
17867 +       rcall   test_rcall2
17868 +       nop
17869 +       rcall   test_rcall2
17870 --- /dev/null
17871 +++ b/gas/testsuite/gas/avr32/pico.d
17872 @@ -0,0 +1,149 @@
17873 +#as:
17874 +#objdump: -dr
17875 +#name: pico
17876 +
17877 +.*: +file format .*
17878 +
17879 +Disassembly of section \.text:
17880 +
17881 +[0-9a-f]* <picosvmac>:
17882 + *[0-9a-f]*:   e1 a6 20 00     cop cp1,cr0,cr0,cr0,0xc
17883 + *[0-9a-f]*:   e1 a7 2b bb     cop cp1,cr11,cr11,cr11,0xe
17884 + *[0-9a-f]*:   e1 a6 3a 05     cop cp1,cr10,cr0,cr5,0xd
17885 + *[0-9a-f]*:   e1 a7 36 90     cop cp1,cr6,cr9,cr0,0xf
17886 +
17887 +[0-9a-f]* <picosvmul>:
17888 + *[0-9a-f]*:   e1 a4 20 00     cop cp1,cr0,cr0,cr0,0x8
17889 + *[0-9a-f]*:   e1 a5 2b bb     cop cp1,cr11,cr11,cr11,0xa
17890 + *[0-9a-f]*:   e1 a4 3a 05     cop cp1,cr10,cr0,cr5,0x9
17891 + *[0-9a-f]*:   e1 a5 36 90     cop cp1,cr6,cr9,cr0,0xb
17892 +
17893 +[0-9a-f]* <picovmac>:
17894 + *[0-9a-f]*:   e1 a2 20 00     cop cp1,cr0,cr0,cr0,0x4
17895 + *[0-9a-f]*:   e1 a3 2b bb     cop cp1,cr11,cr11,cr11,0x6
17896 + *[0-9a-f]*:   e1 a2 3a 05     cop cp1,cr10,cr0,cr5,0x5
17897 + *[0-9a-f]*:   e1 a3 36 90     cop cp1,cr6,cr9,cr0,0x7
17898 +
17899 +[0-9a-f]* <picovmul>:
17900 + *[0-9a-f]*:   e1 a0 20 00     cop cp1,cr0,cr0,cr0,0x0
17901 + *[0-9a-f]*:   e1 a1 2b bb     cop cp1,cr11,cr11,cr11,0x2
17902 + *[0-9a-f]*:   e1 a0 3a 05     cop cp1,cr10,cr0,cr5,0x1
17903 + *[0-9a-f]*:   e1 a1 36 90     cop cp1,cr6,cr9,cr0,0x3
17904 +
17905 +[0-9a-f]* <picold_d>:
17906 + *[0-9a-f]*:   e9 af 3e ff     ldc\.d cp1,cr14,pc\[0x3fc\]
17907 + *[0-9a-f]*:   e9 a0 30 ff     ldc\.d cp1,cr0,r0\[0x3fc\]
17908 + *[0-9a-f]*:   e9 a0 30 00     ldc\.d cp1,cr0,r0\[0x0\]
17909 + *[0-9a-f]*:   ef a8 26 50     ldc\.d cp1,cr6,--r8
17910 + *[0-9a-f]*:   ef a7 28 50     ldc\.d cp1,cr8,--r7
17911 + *[0-9a-f]*:   ef aa 32 65     ldc\.d cp1,cr2,r10\[r5<<0x2\]
17912 + *[0-9a-f]*:   ef a3 3c 46     ldc\.d cp1,cr12,r3\[r6\]
17913 +
17914 +[0-9a-f]* <picold_w>:
17915 + *[0-9a-f]*:   e9 af 2f ff     ldc\.w cp1,cr15,pc\[0x3fc\]
17916 + *[0-9a-f]*:   e9 a0 20 ff     ldc\.w cp1,cr0,r0\[0x3fc\]
17917 + *[0-9a-f]*:   e9 a0 20 00     ldc\.w cp1,cr0,r0\[0x0\]
17918 + *[0-9a-f]*:   ef a8 27 40     ldc\.w cp1,cr7,--r8
17919 + *[0-9a-f]*:   ef a7 28 40     ldc\.w cp1,cr8,--r7
17920 + *[0-9a-f]*:   ef aa 31 25     ldc\.w cp1,cr1,r10\[r5<<0x2\]
17921 + *[0-9a-f]*:   ef a3 3d 06     ldc\.w cp1,cr13,r3\[r6\]
17922 +
17923 +[0-9a-f]* <picoldm_d>:
17924 + *[0-9a-f]*:   ed af 24 ff     ldcm\.d cp1,pc,cr0-cr15
17925 + *[0-9a-f]*:   ed a0 24 01     ldcm\.d cp1,r0,cr0-cr1
17926 + *[0-9a-f]*:   ed a7 24 80     ldcm\.d cp1,r7,cr14-cr15
17927 + *[0-9a-f]*:   ed a8 24 7f     ldcm\.d cp1,r8,cr0-cr13
17928 +
17929 +[0-9a-f]* <picoldm_d_pu>:
17930 + *[0-9a-f]*:   ed af 34 ff     ldcm\.d cp1,pc\+\+,cr0-cr15
17931 + *[0-9a-f]*:   ed a0 34 01     ldcm\.d cp1,r0\+\+,cr0-cr1
17932 + *[0-9a-f]*:   ed a7 34 80     ldcm\.d cp1,r7\+\+,cr14-cr15
17933 + *[0-9a-f]*:   ed a8 34 7f     ldcm\.d cp1,r8\+\+,cr0-cr13
17934 +
17935 +[0-9a-f]* <picoldm_w>:
17936 + *[0-9a-f]*:   ed af 20 ff     ldcm\.w cp1,pc,cr0-cr7
17937 + *[0-9a-f]*:   ed a0 20 01     ldcm\.w cp1,r0,cr0
17938 + *[0-9a-f]*:   ed a7 20 80     ldcm\.w cp1,r7,cr7
17939 + *[0-9a-f]*:   ed a8 20 7f     ldcm\.w cp1,r8,cr0-cr6
17940 + *[0-9a-f]*:   ed af 21 ff     ldcm\.w cp1,pc,cr8-cr15
17941 + *[0-9a-f]*:   ed a0 21 01     ldcm\.w cp1,r0,cr8
17942 + *[0-9a-f]*:   ed a7 21 80     ldcm\.w cp1,r7,cr15
17943 + *[0-9a-f]*:   ed a8 21 7f     ldcm\.w cp1,r8,cr8-cr14
17944 +
17945 +[0-9a-f]* <picoldm_w_pu>:
17946 + *[0-9a-f]*:   ed af 30 ff     ldcm\.w cp1,pc\+\+,cr0-cr7
17947 + *[0-9a-f]*:   ed a0 30 01     ldcm\.w cp1,r0\+\+,cr0
17948 + *[0-9a-f]*:   ed a7 30 80     ldcm\.w cp1,r7\+\+,cr7
17949 + *[0-9a-f]*:   ed a8 30 7f     ldcm\.w cp1,r8\+\+,cr0-cr6
17950 + *[0-9a-f]*:   ed af 31 ff     ldcm\.w cp1,pc\+\+,cr8-cr15
17951 + *[0-9a-f]*:   ed a0 31 01     ldcm\.w cp1,r0\+\+,cr8
17952 + *[0-9a-f]*:   ed a7 31 80     ldcm\.w cp1,r7\+\+,cr15
17953 + *[0-9a-f]*:   ed a8 31 7f     ldcm\.w cp1,r8\+\+,cr8-cr14
17954 +
17955 +[0-9a-f]* <picomv_d>:
17956 + *[0-9a-f]*:   ef ae 2e 30     mvrc\.d cp1,cr14,lr
17957 + *[0-9a-f]*:   ef a0 20 30     mvrc\.d cp1,cr0,r0
17958 + *[0-9a-f]*:   ef a8 26 30     mvrc\.d cp1,cr6,r8
17959 + *[0-9a-f]*:   ef a6 28 30     mvrc\.d cp1,cr8,r6
17960 + *[0-9a-f]*:   ef ae 2e 10     mvcr\.d cp1,lr,cr14
17961 + *[0-9a-f]*:   ef a0 20 10     mvcr\.d cp1,r0,cr0
17962 + *[0-9a-f]*:   ef a8 26 10     mvcr\.d cp1,r8,cr6
17963 + *[0-9a-f]*:   ef a6 28 10     mvcr\.d cp1,r6,cr8
17964 +
17965 +[0-9a-f]* <picomv_w>:
17966 + *[0-9a-f]*:   ef af 2f 20     mvrc\.w cp1,cr15,pc
17967 + *[0-9a-f]*:   ef a0 20 20     mvrc\.w cp1,cr0,r0
17968 + *[0-9a-f]*:   ef a8 27 20     mvrc\.w cp1,cr7,r8
17969 + *[0-9a-f]*:   ef a7 28 20     mvrc\.w cp1,cr8,r7
17970 + *[0-9a-f]*:   ef af 2f 00     mvcr\.w cp1,pc,cr15
17971 + *[0-9a-f]*:   ef a0 20 00     mvcr\.w cp1,r0,cr0
17972 + *[0-9a-f]*:   ef a8 27 00     mvcr\.w cp1,r8,cr7
17973 + *[0-9a-f]*:   ef a7 28 00     mvcr\.w cp1,r7,cr8
17974 +
17975 +[0-9a-f]* <picost_d>:
17976 + *[0-9a-f]*:   eb af 3e ff     stc\.d cp1,pc\[0x3fc\],cr14
17977 + *[0-9a-f]*:   eb a0 30 00     stc\.d cp1,r0\[0x0\],cr0
17978 + *[0-9a-f]*:   ef a8 26 70     stc\.d cp1,r8\+\+,cr6
17979 + *[0-9a-f]*:   ef a7 28 70     stc\.d cp1,r7\+\+,cr8
17980 + *[0-9a-f]*:   ef aa 32 e5     stc\.d cp1,r10\[r5<<0x2\],cr2
17981 + *[0-9a-f]*:   ef a3 3c c6     stc\.d cp1,r3\[r6\],cr12
17982 +
17983 +[0-9a-f]* <picost_w>:
17984 + *[0-9a-f]*:   eb af 2f ff     stc\.w cp1,pc\[0x3fc\],cr15
17985 + *[0-9a-f]*:   eb a0 20 00     stc\.w cp1,r0\[0x0\],cr0
17986 + *[0-9a-f]*:   ef a8 27 60     stc\.w cp1,r8\+\+,cr7
17987 + *[0-9a-f]*:   ef a7 28 60     stc\.w cp1,r7\+\+,cr8
17988 + *[0-9a-f]*:   ef aa 31 a5     stc\.w cp1,r10\[r5<<0x2\],cr1
17989 + *[0-9a-f]*:   ef a3 3d 86     stc\.w cp1,r3\[r6\],cr13
17990 +
17991 +[0-9a-f]* <picostm_d>:
17992 + *[0-9a-f]*:   ed af 25 ff     stcm\.d cp1,pc,cr0-cr15
17993 + *[0-9a-f]*:   ed a0 25 01     stcm\.d cp1,r0,cr0-cr1
17994 + *[0-9a-f]*:   ed a7 25 80     stcm\.d cp1,r7,cr14-cr15
17995 + *[0-9a-f]*:   ed a8 25 7f     stcm\.d cp1,r8,cr0-cr13
17996 +
17997 +[0-9a-f]* <picostm_d_pu>:
17998 + *[0-9a-f]*:   ed af 35 ff     stcm\.d cp1,--pc,cr0-cr15
17999 + *[0-9a-f]*:   ed a0 35 01     stcm\.d cp1,--r0,cr0-cr1
18000 + *[0-9a-f]*:   ed a7 35 80     stcm\.d cp1,--r7,cr14-cr15
18001 + *[0-9a-f]*:   ed a8 35 7f     stcm\.d cp1,--r8,cr0-cr13
18002 +
18003 +[0-9a-f]* <picostm_w>:
18004 + *[0-9a-f]*:   ed af 22 ff     stcm\.w cp1,pc,cr0-cr7
18005 + *[0-9a-f]*:   ed a0 22 01     stcm\.w cp1,r0,cr0
18006 + *[0-9a-f]*:   ed a7 22 80     stcm\.w cp1,r7,cr7
18007 + *[0-9a-f]*:   ed a8 22 7f     stcm\.w cp1,r8,cr0-cr6
18008 + *[0-9a-f]*:   ed af 23 ff     stcm\.w cp1,pc,cr8-cr15
18009 + *[0-9a-f]*:   ed a0 23 01     stcm\.w cp1,r0,cr8
18010 + *[0-9a-f]*:   ed a7 23 80     stcm\.w cp1,r7,cr15
18011 + *[0-9a-f]*:   ed a8 23 7f     stcm\.w cp1,r8,cr8-cr14
18012 +
18013 +[0-9a-f]* <picostm_w_pu>:
18014 + *[0-9a-f]*:   ed af 32 ff     stcm\.w cp1,--pc,cr0-cr7
18015 + *[0-9a-f]*:   ed a0 32 01     stcm\.w cp1,--r0,cr0
18016 + *[0-9a-f]*:   ed a7 32 80     stcm\.w cp1,--r7,cr7
18017 + *[0-9a-f]*:   ed a8 32 7f     stcm\.w cp1,--r8,cr0-cr6
18018 + *[0-9a-f]*:   ed af 33 ff     stcm\.w cp1,--pc,cr8-cr15
18019 + *[0-9a-f]*:   ed a0 33 01     stcm\.w cp1,--r0,cr8
18020 + *[0-9a-f]*:   ed a7 33 80     stcm\.w cp1,--r7,cr15
18021 + *[0-9a-f]*:   ed a8 33 7f     stcm\.w cp1,--r8,cr8-cr14
18022 --- /dev/null
18023 +++ b/gas/testsuite/gas/avr32/pico.s
18024 @@ -0,0 +1,144 @@
18025 +
18026 +       .text
18027 +       .global picosvmac
18028 +picosvmac:
18029 +       picosvmac       out0, in0, in0, in0
18030 +       picosvmac       out2, in11, in11, in11
18031 +       picosvmac       out1, in10, in0, in5
18032 +       picosvmac       out3, in6, in9, in0
18033 +       .global picosvmul
18034 +picosvmul:
18035 +       picosvmul       out0, in0, in0, in0
18036 +       picosvmul       out2, in11, in11, in11
18037 +       picosvmul       out1, in10, in0, in5
18038 +       picosvmul       out3, in6, in9, in0
18039 +       .global picovmac
18040 +picovmac:
18041 +       picovmac        out0, in0, in0, in0
18042 +       picovmac        out2, in11, in11, in11
18043 +       picovmac        out1, in10, in0, in5
18044 +       picovmac        out3, in6, in9, in0
18045 +       .global picovmul
18046 +picovmul:
18047 +       picovmul        out0, in0, in0, in0
18048 +       picovmul        out2, in11, in11, in11
18049 +       picovmul        out1, in10, in0, in5
18050 +       picovmul        out3, in6, in9, in0
18051 +       .global picold_d
18052 +picold_d:
18053 +       picold.d        vmu2_out, pc[1020]
18054 +       picold.d        inpix2, r0[1020]
18055 +       picold.d        inpix2, r0[0]
18056 +       picold.d        coeff0_a, --r8
18057 +       picold.d        coeff1_a, --r7
18058 +       picold.d        inpix0, r10[r5 << 2]
18059 +       picold.d        vmu0_out, r3[r6 << 0]
18060 +       .global picold_w
18061 +picold_w:      
18062 +       picold.w        config, pc[1020]
18063 +       picold.w        inpix2, r0[1020]
18064 +       picold.w        inpix2, r0[0]
18065 +       picold.w        coeff0_b, --r8
18066 +       picold.w        coeff1_a, --r7
18067 +       picold.w        inpix1, r10[r5 << 2]
18068 +       picold.w        vmu1_out, r3[r6 << 0]
18069 +       .global picoldm_d
18070 +picoldm_d:
18071 +       picoldm.d       pc, inpix2-config
18072 +       picoldm.d       r0, inpix2, inpix1
18073 +       picoldm.d       r7, vmu2_out, config
18074 +       picoldm.d       r8, inpix2-vmu1_out
18075 +       .global picoldm_d_pu
18076 +picoldm_d_pu:
18077 +       picoldm.d       pc++, inpix2, inpix1, inpix0, outpix2, outpix1, outpix0, coeff0_a, coeff0_b, coeff1_a, coeff1_b, coeff2_a, coeff2_b, vmu0_out, vmu1_out, vmu2_out, config
18078 +       picoldm.d       r0++, inpix2, inpix1
18079 +       picoldm.d       r7++, vmu2_out, config
18080 +       picoldm.d       r8++, inpix2, inpix1, inpix0, outpix2, outpix1, outpix0, coeff0_a, coeff0_b, coeff1_a, coeff1_b, coeff2_a, coeff2_b, vmu0_out, vmu1_out
18081 +       .global picoldm_w
18082 +picoldm_w:
18083 +       picoldm.w       pc, inpix2-coeff0_b
18084 +       picoldm.w       r0, inpix2
18085 +       picoldm.w       r7, coeff0_b
18086 +       picoldm.w       r8, inpix2-coeff0_a
18087 +       picoldm.w       pc, coeff1_a-config
18088 +       picoldm.w       r0, coeff1_a
18089 +       picoldm.w       r7, config
18090 +       picoldm.w       r8, coeff1_a-vmu2_out
18091 +       .global picoldm_w_pu
18092 +picoldm_w_pu:
18093 +       picoldm.w       pc++, inpix2-coeff0_b
18094 +       picoldm.w       r0++, inpix2
18095 +       picoldm.w       r7++, coeff0_b
18096 +       picoldm.w       r8++, inpix2-coeff0_a
18097 +       picoldm.w       pc++, coeff1_a-config
18098 +       picoldm.w       r0++, coeff1_a
18099 +       picoldm.w       r7++, config
18100 +       picoldm.w       r8++, coeff1_a-vmu2_out
18101 +       .global picomv_d
18102 +picomv_d:
18103 +       picomv.d        vmu2_out, lr
18104 +       picomv.d        inpix2, r0
18105 +       picomv.d        coeff0_a, r8
18106 +       picomv.d        coeff1_a, r6
18107 +       picomv.d        pc, vmu2_out
18108 +       picomv.d        r0, inpix2
18109 +       picomv.d        r8, coeff0_a
18110 +       picomv.d        r6, coeff1_a
18111 +       .global picomv_w
18112 +picomv_w:
18113 +       picomv.w        config, pc
18114 +       picomv.w        inpix2, r0
18115 +       picomv.w        coeff0_b, r8
18116 +       picomv.w        coeff1_a, r7
18117 +       picomv.w        pc, config
18118 +       picomv.w        r0, inpix2
18119 +       picomv.w        r8, coeff0_b
18120 +       picomv.w        r7, coeff1_a
18121 +       .global picost_d
18122 +picost_d:
18123 +       picost.d        pc[1020], vmu2_out
18124 +       picost.d        r0[0], inpix2
18125 +       picost.d        r8++, coeff0_a
18126 +       picost.d        r7++, coeff1_a
18127 +       picost.d        r10[r5 << 2], inpix0
18128 +       picost.d        r3[r6 << 0], vmu0_out
18129 +       .global picost_w
18130 +picost_w:      
18131 +       picost.w        pc[1020], config
18132 +       picost.w        r0[0], inpix2
18133 +       picost.w        r8++, coeff0_b
18134 +       picost.w        r7++, coeff1_a
18135 +       picost.w        r10[r5 << 2], inpix1
18136 +       picost.w        r3[r6 << 0], vmu1_out
18137 +       .global picostm_d
18138 +picostm_d:
18139 +       picostm.d       pc, inpix2-config
18140 +       picostm.d       r0, inpix2, inpix1
18141 +       picostm.d       r7, vmu2_out, config
18142 +       picostm.d       r8, inpix2-vmu1_out
18143 +       .global picostm_d_pu
18144 +picostm_d_pu:
18145 +       picostm.d       --pc, inpix2, inpix1, inpix0, outpix2, outpix1, outpix0, coeff0_a, coeff0_b, coeff1_a, coeff1_b, coeff2_a, coeff2_b, vmu0_out, vmu1_out, vmu2_out, config
18146 +       picostm.d       --r0, inpix2, inpix1
18147 +       picostm.d       --r7, vmu2_out, config
18148 +       picostm.d       --r8, inpix2, inpix1, inpix0, outpix2, outpix1, outpix0, coeff0_a, coeff0_b, coeff1_a, coeff1_b, coeff2_a, coeff2_b, vmu0_out, vmu1_out
18149 +       .global picostm_w
18150 +picostm_w:
18151 +       picostm.w       pc, inpix2-coeff0_b
18152 +       picostm.w       r0, inpix2
18153 +       picostm.w       r7, coeff0_b
18154 +       picostm.w       r8, inpix2-coeff0_a
18155 +       picostm.w       pc, coeff1_a-config
18156 +       picostm.w       r0, coeff1_a
18157 +       picostm.w       r7, config
18158 +       picostm.w       r8, coeff1_a-vmu2_out
18159 +       .global picostm_w_pu
18160 +picostm_w_pu:
18161 +       picostm.w       --pc, inpix2-coeff0_b
18162 +       picostm.w       --r0, inpix2
18163 +       picostm.w       --r7, coeff0_b
18164 +       picostm.w       --r8, inpix2-coeff0_a
18165 +       picostm.w       --pc, coeff1_a-config
18166 +       picostm.w       --r0, coeff1_a
18167 +       picostm.w       --r7, config
18168 +       picostm.w       --r8, coeff1_a-vmu2_out
18169 --- /dev/null
18170 +++ b/gas/testsuite/gas/avr32/pic_reloc.d
18171 @@ -0,0 +1,27 @@
18172 +#as:
18173 +#objdump: -dr
18174 +#name: pic_reloc
18175 +
18176 +.*: +file format .*
18177 +
18178 +Disassembly of section \.text:
18179 +
18180 +00000000 <mcall_got>:
18181 +   0:  f0 16 00 00     mcall r6\[0\]
18182 +                       0: R_AVR32_GOT18SW      extfunc
18183 +   4:  f0 16 00 00     mcall r6\[0\]
18184 +                       4: R_AVR32_GOT18SW      \.L1
18185 +   8:  f0 16 00 00     mcall r6\[0\]
18186 +                       8: R_AVR32_GOT18SW      \.L2
18187 +   c:  f0 16 00 00     mcall r6\[0\]
18188 +                       c: R_AVR32_GOT18SW      mcall_got
18189 +
18190 +00000010 <ldw_got>:
18191 +  10:  ec f0 00 00     ld.w r0,r6\[0\]
18192 +                       10: R_AVR32_GOT16S      extvar
18193 +  14:  ec f0 00 00     ld.w r0,r6\[0\]
18194 +                       14: R_AVR32_GOT16S      \.L3
18195 +  18:  ec f0 00 00     ld.w r0,r6\[0\]
18196 +                       18: R_AVR32_GOT16S      \.L4
18197 +  1c:  ec f0 00 00     ld.w r0,r6\[0\]
18198 +                       1c: R_AVR32_GOT16S      ldw_got
18199 --- /dev/null
18200 +++ b/gas/testsuite/gas/avr32/pic_reloc.s
18201 @@ -0,0 +1,18 @@
18202 +
18203 +       .text
18204 +       .global mcall_got
18205 +mcall_got:
18206 +.L1:
18207 +       mcall   r6[extfunc@got]
18208 +       mcall   r6[.L1@got]
18209 +       mcall   r6[.L2@got]
18210 +       mcall   r6[mcall_got@got]
18211 +.L2:
18212 +
18213 +       .global ldw_got
18214 +ldw_got:
18215 +.L3:   ld.w    r0,r6[extvar@got]
18216 +       ld.w    r0,r6[.L3@got]
18217 +       ld.w    r0,r6[.L4@got]
18218 +       ld.w    r0,r6[ldw_got@got]
18219 +.L4:
18220 --- /dev/null
18221 +++ b/gas/testsuite/gas/avr32/symdiff.d
18222 @@ -0,0 +1,24 @@
18223 +#source: symdiff.s
18224 +#as:
18225 +#objdump: -dr
18226 +#name: symdiff
18227 +
18228 +.*: +file format .*
18229 +
18230 +Disassembly of section \.text:
18231 +
18232 +00000000 <diff32>:
18233 +   0:  00 00           add r0,r0
18234 +   2:  00 04           add r4,r0
18235 +
18236 +00000004 <diff16>:
18237 +   4:  00 04           add r4,r0
18238 +
18239 +00000006 <diff8>:
18240 +   6:  04 00           add r0,r2
18241 +
18242 +00000008 <symdiff_test>:
18243 +   8:  d7 03           nop
18244 +   a:  d7 03           nop
18245 +   c:  d7 03           nop
18246 +   e:  d7 03           nop
18247 --- /dev/null
18248 +++ b/gas/testsuite/gas/avr32/symdiff_linkrelax.d
18249 @@ -0,0 +1,28 @@
18250 +#source: symdiff.s
18251 +#as: --linkrelax
18252 +#objdump: -dr
18253 +#name: symdiff_linkrelax
18254 +
18255 +.*: +file format .*
18256 +
18257 +Disassembly of section \.text:
18258 +
18259 +00000000 <diff32>:
18260 +   0:  00 00           add r0,r0
18261 +                       0: R_AVR32_DIFF32       \.text\+0xa
18262 +   2:  00 04           add r4,r0
18263 +
18264 +00000004 <diff16>:
18265 +   4:  00 04           add r4,r0
18266 +                       4: R_AVR32_DIFF16       \.text\+0xa
18267 +
18268 +00000006 <diff8>:
18269 +   6:  04 00           add r0,r2
18270 +                       6: R_AVR32_DIFF8        \.text\+0xa
18271 +                       7: R_AVR32_ALIGN        \*ABS\*\+0x1
18272 +
18273 +00000008 <symdiff_test>:
18274 +   8:  d7 03           nop
18275 +   a:  d7 03           nop
18276 +   c:  d7 03           nop
18277 +   e:  d7 03           nop
18278 --- /dev/null
18279 +++ b/gas/testsuite/gas/avr32/symdiff.s
18280 @@ -0,0 +1,19 @@
18281 +
18282 +       .text
18283 +       .global diff32
18284 +diff32:
18285 +       .long   .L2 - .L1
18286 +       .global diff16
18287 +diff16:
18288 +       .short  .L2 - .L1
18289 +       .global diff8
18290 +diff8:
18291 +       .byte   .L2 - .L1
18292 +
18293 +       .global symdiff_test
18294 +       .align  1
18295 +symdiff_test:
18296 +       nop
18297 +.L1:   nop
18298 +       nop
18299 +.L2:   nop
18300 --- a/gas/write.c
18301 +++ b/gas/write.c
18302 @@ -2221,6 +2221,10 @@ relax_frag (segT segment, fragS *fragP,
18303  
18304  #endif /* defined (TC_GENERIC_RELAX_TABLE)  */
18305  
18306 +#ifdef TC_RELAX_ALIGN
18307 +#define RELAX_ALIGN(SEG, FRAG, ADDR) TC_RELAX_ALIGN(SEG, FRAG, ADDR)
18308 +#else
18309 +#define RELAX_ALIGN(SEG, FRAG, ADDR) relax_align(ADDR, (FRAG)->fr_offset)
18310  /* Relax_align. Advance location counter to next address that has 'alignment'
18311     lowest order bits all 0s, return size of adjustment made.  */
18312  static relax_addressT
18313 @@ -2240,6 +2244,7 @@ relax_align (register relax_addressT add
18314  #endif
18315    return (new_address - address);
18316  }
18317 +#endif
18318  
18319  /* Now we have a segment, not a crowd of sub-segments, we can make
18320     fr_address values.
18321 @@ -2286,7 +2291,7 @@ relax_segment (struct frag *segment_frag
18322         case rs_align_code:
18323         case rs_align_test:
18324           {
18325 -           addressT offset = relax_align (address, (int) fragP->fr_offset);
18326 +           addressT offset = RELAX_ALIGN(segment, fragP, address);
18327  
18328             if (fragP->fr_subtype != 0 && offset > fragP->fr_subtype)
18329               offset = 0;
18330 @@ -2497,10 +2502,10 @@ relax_segment (struct frag *segment_frag
18331                 {
18332                   addressT oldoff, newoff;
18333  
18334 -                 oldoff = relax_align (was_address + fragP->fr_fix,
18335 -                                       (int) offset);
18336 -                 newoff = relax_align (address + fragP->fr_fix,
18337 -                                       (int) offset);
18338 +                 oldoff = RELAX_ALIGN (segment, fragP,
18339 +                                       was_address + fragP->fr_fix);
18340 +                 newoff = RELAX_ALIGN (segment, fragP,
18341 +                                       address + fragP->fr_fix);
18342  
18343                   if (fragP->fr_subtype != 0)
18344                     {
18345 --- a/include/dis-asm.h
18346 +++ b/include/dis-asm.h
18347 @@ -222,6 +222,7 @@ typedef int (*disassembler_ftype) (bfd_v
18348  
18349  extern int print_insn_alpha            (bfd_vma, disassemble_info *);
18350  extern int print_insn_avr              (bfd_vma, disassemble_info *);
18351 +extern int print_insn_avr32            (bfd_vma, disassemble_info *);
18352  extern int print_insn_bfin             (bfd_vma, disassemble_info *);
18353  extern int print_insn_big_arm          (bfd_vma, disassemble_info *);
18354  extern int print_insn_big_mips         (bfd_vma, disassemble_info *);
18355 @@ -304,7 +305,9 @@ extern void print_i386_disassembler_opti
18356  extern void print_mips_disassembler_options (FILE *);
18357  extern void print_ppc_disassembler_options (FILE *);
18358  extern void print_arm_disassembler_options (FILE *);
18359 +extern void print_avr32_disassembler_options (FILE *);
18360  extern void parse_arm_disassembler_option (char *);
18361 +extern void parse_avr32_disassembler_option (char *);
18362  extern void print_s390_disassembler_options (FILE *);
18363  extern int  get_arm_regname_num_options (void);
18364  extern int  set_arm_regname_option (int);
18365 --- /dev/null
18366 +++ b/include/elf/avr32.h
18367 @@ -0,0 +1,98 @@
18368 +/* AVR32 ELF support for BFD.
18369 +   Copyright 2003,2004,2005,2006,2007,2008,2009 Atmel Corporation.
18370 +
18371 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
18372 +
18373 +   This file is part of BFD, the Binary File Descriptor library.
18374 +
18375 +   This program is free software; you can redistribute it and/or
18376 +   modify it under the terms of the GNU General Public License as
18377 +   published by the Free Software Foundation; either version 2 of the
18378 +   License, or (at your option) any later version.
18379 +
18380 +   This program is distributed in the hope that it will be useful, but
18381 +   WITHOUT ANY WARRANTY; without even the implied warranty of
18382 +   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
18383 +   General Public License for more details.
18384 +
18385 +   You should have received a copy of the GNU General Public License
18386 +   along with this program; if not, write to the Free Software
18387 +   Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA
18388 +   02111-1307, USA.  */
18389 +
18390 +#include "elf/reloc-macros.h"
18391 +
18392 +/* CPU-specific flags for the ELF header e_flags field */
18393 +#define EF_AVR32_LINKRELAX             0x01
18394 +#define EF_AVR32_PIC                   0x02
18395 +
18396 +START_RELOC_NUMBERS (elf_avr32_reloc_type)
18397 +    RELOC_NUMBER (R_AVR32_NONE,                        0)
18398 +
18399 +    /* Data Relocations */
18400 +    RELOC_NUMBER (R_AVR32_32,                  1)
18401 +    RELOC_NUMBER (R_AVR32_16,                  2)
18402 +    RELOC_NUMBER (R_AVR32_8,                   3)
18403 +    RELOC_NUMBER (R_AVR32_32_PCREL,            4)
18404 +    RELOC_NUMBER (R_AVR32_16_PCREL,            5)
18405 +    RELOC_NUMBER (R_AVR32_8_PCREL,             6)
18406 +    RELOC_NUMBER (R_AVR32_DIFF32,              7)
18407 +    RELOC_NUMBER (R_AVR32_DIFF16,              8)
18408 +    RELOC_NUMBER (R_AVR32_DIFF8,               9)
18409 +    RELOC_NUMBER (R_AVR32_GOT32,               10)
18410 +    RELOC_NUMBER (R_AVR32_GOT16,               11)
18411 +    RELOC_NUMBER (R_AVR32_GOT8,                        12)
18412 +
18413 +    /* Normal Code Relocations */
18414 +    RELOC_NUMBER (R_AVR32_21S,                 13)
18415 +    RELOC_NUMBER (R_AVR32_16U,                 14)
18416 +    RELOC_NUMBER (R_AVR32_16S,                 15)
18417 +    RELOC_NUMBER (R_AVR32_8S,                  16)
18418 +    RELOC_NUMBER (R_AVR32_8S_EXT,              17)
18419 +
18420 +    /* PC-Relative Code Relocations */
18421 +    RELOC_NUMBER (R_AVR32_22H_PCREL,           18)
18422 +    RELOC_NUMBER (R_AVR32_18W_PCREL,           19)
18423 +    RELOC_NUMBER (R_AVR32_16B_PCREL,           20)
18424 +    RELOC_NUMBER (R_AVR32_16N_PCREL,           21)
18425 +    RELOC_NUMBER (R_AVR32_14UW_PCREL,          22)
18426 +    RELOC_NUMBER (R_AVR32_11H_PCREL,           23)
18427 +    RELOC_NUMBER (R_AVR32_10UW_PCREL,          24)
18428 +    RELOC_NUMBER (R_AVR32_9H_PCREL,            25)
18429 +    RELOC_NUMBER (R_AVR32_9UW_PCREL,           26)
18430 +
18431 +    /* Special Code Relocations */
18432 +    RELOC_NUMBER (R_AVR32_HI16,                        27)
18433 +    RELOC_NUMBER (R_AVR32_LO16,                        28)
18434 +
18435 +    /* PIC Relocations */
18436 +    RELOC_NUMBER (R_AVR32_GOTPC,               29)
18437 +    RELOC_NUMBER (R_AVR32_GOTCALL,             30)
18438 +    RELOC_NUMBER (R_AVR32_LDA_GOT,             31)
18439 +    RELOC_NUMBER (R_AVR32_GOT21S,              32)
18440 +    RELOC_NUMBER (R_AVR32_GOT18SW,             33)
18441 +    RELOC_NUMBER (R_AVR32_GOT16S,              34)
18442 +    RELOC_NUMBER (R_AVR32_GOT7UW,              35)
18443 +
18444 +    /* Constant Pool Relocations */
18445 +    RELOC_NUMBER (R_AVR32_32_CPENT,            36)
18446 +    RELOC_NUMBER (R_AVR32_CPCALL,              37)
18447 +    RELOC_NUMBER (R_AVR32_16_CP,               38)
18448 +    RELOC_NUMBER (R_AVR32_9W_CP,               39)
18449 +
18450 +    /* Dynamic Relocations */
18451 +    RELOC_NUMBER (R_AVR32_RELATIVE,            40)
18452 +    RELOC_NUMBER (R_AVR32_GLOB_DAT,            41)
18453 +    RELOC_NUMBER (R_AVR32_JMP_SLOT,            42)
18454 +
18455 +    /* Linkrelax Information */
18456 +    RELOC_NUMBER (R_AVR32_ALIGN,               43)
18457 +
18458 +    RELOC_NUMBER (R_AVR32_15S,                 44)
18459 +
18460 +END_RELOC_NUMBERS (R_AVR32_max)
18461 +
18462 +/* Processor specific dynamic array tags.  */
18463 +
18464 +/* The total size in bytes of the Global Offset Table */
18465 +#define DT_AVR32_GOTSZ                 0x70000001
18466 --- a/include/elf/common.h
18467 +++ b/include/elf/common.h
18468 @@ -289,7 +289,7 @@
18469  #define EM_INTEL182    182     /* Reserved by Intel */
18470  #define EM_res183      183     /* Reserved by ARM */
18471  #define EM_res184      184     /* Reserved by ARM */
18472 -#define EM_AVR32       185     /* Atmel Corporation 32-bit microprocessor family */
18473 +#define EM_AVR32_OLD   185     /* Atmel Corporation 32-bit microprocessor family */
18474  #define EM_STM8        186     /* STMicroeletronics STM8 8-bit microcontroller */
18475  #define EM_TILE64      187     /* Tilera TILE64 multicore architecture family */
18476  #define EM_TILEPRO     188     /* Tilera TILEPro multicore architecture family */
18477 @@ -369,6 +369,9 @@
18478  /* V850 backend magic number.  Written in the absense of an ABI.  */
18479  #define EM_CYGNUS_V850         0x9080
18480  
18481 +/* AVR32 magic number, picked by IAR Systems. */
18482 +#define EM_AVR32               0x18ad
18483 +
18484  /* old S/390 backend magic number. Written in the absence of an ABI.  */
18485  #define EM_S390_OLD            0xa390
18486  
18487 --- a/ld/configdoc.texi
18488 +++ b/ld/configdoc.texi
18489 @@ -7,6 +7,7 @@
18490  @set H8300
18491  @set HPPA
18492  @set I960
18493 +@set AVR32
18494  @set M68HC11
18495  @set M68K
18496  @set MMIX
18497 --- a/ld/configure.tgt
18498 +++ b/ld/configure.tgt
18499 @@ -113,6 +113,9 @@ xscale-*-elf)               targ_emul=armelf
18500  avr-*-*)               targ_emul=avr2
18501                         targ_extra_emuls="avr1 avr25 avr3 avr31 avr35 avr4 avr5 avr51 avr6"
18502                         ;;
18503 +avr32-*-none)           targ_emul=avr32elf_ap7000
18504 +                        targ_extra_emuls="avr32elf_ap7001 avr32elf_ap7002 avr32elf_ap7200 avr32elf_uc3a0128 avr32elf_uc3a0256 avr32elf_uc3a0512 avr32elf_uc3a0512es avr32elf_uc3a1128 avr32elf_uc3a1256 avr32elf_uc3a1512es avr32elf_uc3a1512 avr32elf_uc3a364 avr32elf_uc3a364s avr32elf_uc3a3128 avr32elf_uc3a3128s avr32elf_uc3a3256 avr32elf_uc3a3256s avr32elf_uc3b064 avr32elf_uc3b0128 avr32elf_uc3b0256es avr32elf_uc3b0256 avr32elf_uc3b0512 avr32elf_uc3b0512revc avr32elf_uc3b164 avr32elf_uc3b1128 avr32elf_uc3b1256es avr32elf_uc3b1256 avr32elf_uc3b1512 avr32elf_uc3b1512revc avr32elf_uc3c0512crevc avr32elf_uc3c1512crevc avr32elf_uc3c2512crevc avr32elf_atuc3l0256 avr32elf_mxt768e avr32elf_uc3l064 avr32elf_uc3l032 avr32elf_uc3l016 avr32elf_uc3l064revb avr32elf_uc3c064c avr32elf_uc3c0128c avr32elf_uc3c0256c avr32elf_uc3c0512c avr32elf_uc3c164c avr32elf_uc3c1128c avr32elf_uc3c1256c avr32elf_uc3c1512c avr32elf_uc3c264c avr32elf_uc3c2128c avr32elf_uc3c2256c avr32elf_uc3c2512c" ;;
18505 +avr32-*-linux*)         targ_emul=avr32linux ;;
18506  bfin-*-elf)            targ_emul=elf32bfin;
18507                         targ_extra_emuls="elf32bfinfd"
18508                         targ_extra_libpath=$targ_extra_emuls
18509 --- /dev/null
18510 +++ b/ld/emulparams/avr32elf.sh
18511 @@ -0,0 +1,402 @@
18512 +# This script is called from ld/genscript.sh 
18513 +# There is a difference on how 'bash' and POSIX handles 
18514 +# the  '.' (source) command in a script.
18515 +# genscript.sh calls this script with argument ${EMULATION_NAME}
18516 +# but that will fail on POSIX compilant shells like 'sh' or 'dash'
18517 +# therefor I use the variable directly instead of $1 
18518 +EMULATION=${EMULATION_NAME}
18519 +SCRIPT_NAME=avr32
18520 +TEMPLATE_NAME=elf32
18521 +EXTRA_EM_FILE=avr32elf
18522 +OUTPUT_FORMAT="elf32-avr32"
18523 +ARCH=avr32
18524 +MAXPAGESIZE=4096
18525 +ENTRY=_start
18526 +EMBEDDED=yes
18527 +NO_SMALL_DATA=yes
18528 +NOP=0xd703d703
18529 +
18530 +DATA_SEGMENT_ALIGN=8
18531 +BSS_ALIGNMENT=8
18532 +
18533 +RO_LMA_REGION="FLASH"
18534 +RO_VMA_REGION="FLASH"
18535 +RW_LMA_REGION="FLASH"
18536 +RW_VMA_REGION="CPUSRAM"
18537 +
18538 +STACK_SIZE=_stack_size
18539 +STACK_ADDR="ORIGIN(CPUSRAM) + LENGTH(CPUSRAM) - ${STACK_SIZE}"
18540 +
18541 +DATA_SEGMENT_END="
18542 +  __heap_start__ = ALIGN(8);
18543 +  . = ${STACK_ADDR};
18544 +  __heap_end__ = .;
18545 +"
18546 +
18547 +case "$EMULATION" in
18548 +avr32elf_ap*)
18549 +    MACHINE=ap
18550 +    INITIAL_READONLY_SECTIONS="
18551 +    .reset : {  *(.reset) } >FLASH AT>FLASH
18552 +    . = . & 0x9fffffff;
18553 +"
18554 +    TEXT_START_ADDR=0xa0000000
18555 +    case "$EMULATION" in
18556 +           avr32elf_ap700[0-2])
18557 +              MEMORY="
18558 +MEMORY
18559 +{
18560 +    FLASH (rxai) : ORIGIN = 0x00000000, LENGTH = 64M
18561 +    CPUSRAM (rwxa) : ORIGIN = 0x24000000, LENGTH = 32K
18562 +}
18563 +"
18564 +        ;;
18565 +    avr32elf_ap7200)
18566 +        MEMORY="
18567 +MEMORY
18568 +{
18569 +    FLASH (rxai) : ORIGIN = 0x00000000, LENGTH = 64M
18570 +    CPUSRAM (rwxa) : ORIGIN = 0x08000000, LENGTH = 64K
18571 +}
18572 +"
18573 +        ;;
18574 +    esac
18575 +    ;;
18576 +
18577 +avr32elf_mxt768e)
18578 +    MACHINE=uc
18579 +    INITIAL_READONLY_SECTIONS=".reset : {  *(.reset) } >FLASH AT>FLASH"
18580 +    TEXT_START_ADDR=0x80000000
18581 +    OTHER_SECTIONS="
18582 +  .userpage :  { *(.userpage .userpage.*)  } >USERPAGE AT>USERPAGE
18583 +  .factorypage :  { *(.factorypage .factorypage.*)  } >FACTORYPAGE AT>FACTORYPAGE
18584 +"
18585 +        MEMORY="
18586 +MEMORY
18587 +{
18588 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 64K
18589 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x3FFC
18590 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18591 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18592 +    FLASHVAULT_FLASH_SIZE (r) : ORIGIN = 0x80800400, LENGTH = 8
18593 +    FLASHVAULT_RAM_SIZE (r) : ORIGIN = 0x80800408, LENGTH = 8
18594 +}
18595 +"
18596 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18597 +  .flashvault_flash_size : { KEEP(*(.flashvault_flash_size .flashvault_flash_size.*)) } > FLASHVAULT_FLASH_SIZE
18598 +  .flashvault_ram_size   : { KEEP(*(.flashvault_ram_size .flashvault_ram_size.*)) } > FLASHVAULT_RAM_SIZE
18599 +"
18600 +      ;;
18601 +
18602 +avr32elf_atuc3*)
18603 +    MACHINE=uc
18604 +    INITIAL_READONLY_SECTIONS=".reset : {  *(.reset) } >FLASH AT>FLASH"
18605 +    TEXT_START_ADDR=0x80000000
18606 +    OTHER_SECTIONS="
18607 +  .userpage :  { *(.userpage .userpage.*)  } >USERPAGE AT>USERPAGE
18608 +  .factorypage :  { *(.factorypage .factorypage.*)  } >FACTORYPAGE AT>FACTORYPAGE
18609 +"
18610 +    case "$EMULATION" in
18611 +    avr32elf_atuc3l0256)
18612 +        MEMORY="
18613 +MEMORY
18614 +{
18615 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 256K
18616 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x3FFC
18617 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18618 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18619 +    FLASHVAULT_FLASH_SIZE (r) : ORIGIN = 0x80800400, LENGTH = 8
18620 +    FLASHVAULT_RAM_SIZE (r) : ORIGIN = 0x80800408, LENGTH = 8
18621 +}
18622 +"
18623 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18624 +  .flashvault_flash_size : { KEEP(*(.flashvault_flash_size .flashvault_flash_size.*)) } > FLASHVAULT_FLASH_SIZE
18625 +  .flashvault_ram_size   : { KEEP(*(.flashvault_ram_size .flashvault_ram_size.*)) } > FLASHVAULT_RAM_SIZE
18626 +"
18627 +        ;;
18628 +    esac
18629 +    ;;
18630 +
18631 +avr32elf_uc3*)
18632 +    MACHINE=uc
18633 +    INITIAL_READONLY_SECTIONS=".reset : {  *(.reset) } >FLASH AT>FLASH"
18634 +    TEXT_START_ADDR=0x80000000
18635 +    OTHER_SECTIONS="
18636 +  .userpage :  { *(.userpage .userpage.*)  } >USERPAGE AT>USERPAGE
18637 +  .factorypage :  { *(.factorypage .factorypage.*)  } >FACTORYPAGE AT>FACTORYPAGE
18638 +"
18639 +
18640 +    case "$EMULATION" in
18641 +    avr32elf_uc3c[012]512c)
18642 +        MEMORY="
18643 +MEMORY
18644 +{
18645 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 512K
18646 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0xFFFC
18647 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18648 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18649 +    FLASHVAULT_FLASH_SIZE (r) : ORIGIN = 0x80800400, LENGTH = 8
18650 +    FLASHVAULT_RAM_SIZE (r) : ORIGIN = 0x80800408, LENGTH = 8
18651 +}
18652 +"
18653 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18654 +  .flashvault_flash_size : { KEEP(*(.flashvault_flash_size .flashvault_flash_size.*)) } > FLASHVAULT_FLASH_SIZE
18655 +  .flashvault_ram_size   : { KEEP(*(.flashvault_ram_size .flashvault_ram_size.*)) } > FLASHVAULT_RAM_SIZE
18656 +"
18657 +        ;;
18658 +
18659 +    avr32elf_uc3c[012]256c)
18660 +        MEMORY="
18661 +MEMORY
18662 +{
18663 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 256K
18664 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0xFFFC
18665 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18666 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18667 +    FLASHVAULT_FLASH_SIZE (r) : ORIGIN = 0x80800400, LENGTH = 8
18668 +    FLASHVAULT_RAM_SIZE (r) : ORIGIN = 0x80800408, LENGTH = 8
18669 +}
18670 +"
18671 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18672 +  .flashvault_flash_size : { KEEP(*(.flashvault_flash_size .flashvault_flash_size.*)) } > FLASHVAULT_FLASH_SIZE
18673 +  .flashvault_ram_size   : { KEEP(*(.flashvault_ram_size .flashvault_ram_size.*)) } > FLASHVAULT_RAM_SIZE
18674 +"
18675 +        ;;
18676 +
18677 +    avr32elf_uc3c[012]128c)
18678 +        MEMORY="
18679 +MEMORY
18680 +{
18681 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 128K
18682 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x7FFC
18683 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18684 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18685 +    FLASHVAULT_FLASH_SIZE (r) : ORIGIN = 0x80800400, LENGTH = 8
18686 +    FLASHVAULT_RAM_SIZE (r) : ORIGIN = 0x80800408, LENGTH = 8
18687 +}
18688 +"
18689 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18690 +  .flashvault_flash_size : { KEEP(*(.flashvault_flash_size .flashvault_flash_size.*)) } > FLASHVAULT_FLASH_SIZE
18691 +  .flashvault_ram_size   : { KEEP(*(.flashvault_ram_size .flashvault_ram_size.*)) } > FLASHVAULT_RAM_SIZE
18692 +"
18693 +        ;;
18694 +
18695 +    avr32elf_uc3c[012]64c)
18696 +        MEMORY="
18697 +MEMORY
18698 +{
18699 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 64K
18700 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x3FFC
18701 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18702 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18703 +    FLASHVAULT_FLASH_SIZE (r) : ORIGIN = 0x80800400, LENGTH = 8
18704 +    FLASHVAULT_RAM_SIZE (r) : ORIGIN = 0x80800408, LENGTH = 8
18705 +}
18706 +"
18707 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18708 +  .flashvault_flash_size : { KEEP(*(.flashvault_flash_size .flashvault_flash_size.*)) } > FLASHVAULT_FLASH_SIZE
18709 +  .flashvault_ram_size   : { KEEP(*(.flashvault_ram_size .flashvault_ram_size.*)) } > FLASHVAULT_RAM_SIZE
18710 +"
18711 +        ;;
18712 +
18713 +   avr32elf_uc3[ac][012]512*)
18714 +       MEMORY="
18715 +MEMORY
18716 +{
18717 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 512K
18718 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0xFFFC
18719 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18720 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18721 +}
18722 +"
18723 +        ;;
18724 +
18725 +    avr32elf_uc3a[012]256*)
18726 +        MEMORY="
18727 +MEMORY
18728 +{
18729 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 256K
18730 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0xFFFC
18731 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18732 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18733 +}
18734 +"
18735 +        ;;
18736 +
18737 +    avr32elf_uc3b[01]512revc)
18738 +        MEMORY="
18739 +MEMORY
18740 +{
18741 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 512K
18742 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x17FFC
18743 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18744 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18745 +}
18746 +"
18747 +         PADDING="
18748 +  .padding : {
18749 +  QUAD(0)
18750 +  QUAD(0)
18751 +  QUAD(0)
18752 +  QUAD(0)
18753 +  } >FLASH AT>FLASH
18754 +"
18755 +        ;;
18756 +
18757 +    avr32elf_uc3b[01]512)
18758 +        MEMORY="
18759 +MEMORY
18760 +{
18761 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 512K
18762 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x17FFC
18763 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18764 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18765 +}
18766 +"
18767 +        ;;
18768 +
18769 +    avr32elf_uc3b[01]256*)
18770 +        MEMORY="
18771 +MEMORY
18772 +{
18773 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 256K
18774 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x7FFC
18775 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18776 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18777 +}
18778 +"
18779 +        ;;
18780 +
18781 +    avr32elf_uc3[ab][012]128*)
18782 +        MEMORY="
18783 +MEMORY
18784 +{
18785 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 128K
18786 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x7FFC
18787 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18788 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18789 +}
18790 +"
18791 +        ;;
18792 +
18793 +    avr32elf_uc3b[0123]64*)
18794 +        MEMORY="
18795 +MEMORY
18796 +{
18797 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 64K
18798 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x3FFC
18799 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18800 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18801 +}
18802 +"
18803 +        ;;
18804 +
18805 +    avr32elf_uc3a3256*)
18806 +        MEMORY="
18807 +MEMORY
18808 +{
18809 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 256K
18810 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0xFFFC
18811 +    HSBSRAM (wxa!ri) : ORIGIN = 0xFF000000, LENGTH = 64K
18812 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18813 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18814 +}
18815 +"
18816 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18817 +  .hsbsram       : { *(.hsbsram .hsbsram.*) } >HSBSRAM AT>FLASH :FLASH
18818 +"
18819 +               
18820 +        ;;
18821 +
18822 +    avr32elf_uc3a3128*)
18823 +        MEMORY="
18824 +MEMORY
18825 +{
18826 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 128K
18827 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0xFFFC
18828 +    HSBSRAM (wxa!ri) : ORIGIN = 0xFF000000, LENGTH = 64K
18829 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18830 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18831 +}
18832 +"
18833 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18834 +  .hsbsram       : { *(.hsbsram .hsbsram.*) } >HSBSRAM AT>FLASH :FLASH
18835 +"
18836 +        ;;
18837 +
18838 +    avr32elf_uc3a364*)
18839 +        MEMORY="
18840 +MEMORY
18841 +{
18842 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 64K
18843 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0xFFFC
18844 +    HSBSRAM (wxa!ri) : ORIGIN = 0xFF000000, LENGTH = 64K
18845 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18846 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18847 +}
18848 +"
18849 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18850 +  .hsbsram       : { *(.hsbsram .hsbsram.*) } >HSBSRAM AT>FLASH :FLASH
18851 +"
18852 +        ;;
18853 +
18854 +
18855 +    avr32elf_uc3l[0123]64*)
18856 +        MEMORY="
18857 +MEMORY
18858 +{
18859 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 64K
18860 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x3FFC
18861 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18862 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18863 +    FLASHVAULT_FLASH_SIZE (r) : ORIGIN = 0x80800400, LENGTH = 8
18864 +    FLASHVAULT_RAM_SIZE (r) : ORIGIN = 0x80800408, LENGTH = 8
18865 +}
18866 +"
18867 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18868 +  .flashvault_flash_size : { KEEP(*(.flashvault_flash_size .flashvault_flash_size.*)) } > FLASHVAULT_FLASH_SIZE
18869 +  .flashvault_ram_size   : { KEEP(*(.flashvault_ram_size .flashvault_ram_size.*)) } > FLASHVAULT_RAM_SIZE
18870 +"
18871 +        ;;
18872 +
18873 +    avr32elf_uc3l[0123]32*)
18874 +        MEMORY="
18875 +MEMORY
18876 +{
18877 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 32K
18878 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x3FFC
18879 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18880 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18881 +    FLASHVAULT_FLASH_SIZE (r) : ORIGIN = 0x80800400, LENGTH = 8
18882 +    FLASHVAULT_RAM_SIZE (r) : ORIGIN = 0x80800408, LENGTH = 8
18883 +}
18884 +"
18885 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18886 +  .flashvault_flash_size : { KEEP(*(.flashvault_flash_size .flashvault_flash_size.*)) } > FLASHVAULT_FLASH_SIZE
18887 +  .flashvault_ram_size   : { KEEP(*(.flashvault_ram_size .flashvault_ram_size.*)) } > FLASHVAULT_RAM_SIZE
18888 +"
18889 +        ;;
18890 +
18891 +    avr32elf_uc3l[0123]16*)
18892 +        MEMORY="
18893 +MEMORY
18894 +{
18895 +    FLASH (rxai!w) : ORIGIN = 0x80000000, LENGTH = 16K
18896 +    CPUSRAM (wxa!ri) : ORIGIN = 0x00000004, LENGTH = 0x1FFC
18897 +    USERPAGE : ORIGIN = 0x80800000, LENGTH = 512
18898 +    FACTORYPAGE : ORIGIN = 0x80800200, LENGTH = 512
18899 +    FLASHVAULT_FLASH_SIZE (r) : ORIGIN = 0x80800400, LENGTH = 8
18900 +    FLASHVAULT_RAM_SIZE (r) : ORIGIN = 0x80800408, LENGTH = 8
18901 +}
18902 +"
18903 +        OTHER_SECTIONS="${OTHER_SECTIONS}
18904 +  .flashvault_flash_size : { KEEP(*(.flashvault_flash_size .flashvault_flash_size.*)) } > FLASHVAULT_FLASH_SIZE
18905 +  .flashvault_ram_size   : { KEEP(*(.flashvault_ram_size .flashvault_ram_size.*)) } > FLASHVAULT_RAM_SIZE
18906 +"
18907 +        ;;
18908 +
18909 +
18910 +    esac
18911 +    ;;
18912 +
18913 +esac
18914 --- /dev/null
18915 +++ b/ld/emulparams/avr32linux.sh
18916 @@ -0,0 +1,14 @@
18917 +ARCH=avr32
18918 +SCRIPT_NAME=elf
18919 +TEMPLATE_NAME=elf32
18920 +EXTRA_EM_FILE=avr32elf
18921 +OUTPUT_FORMAT="elf32-avr32"
18922 +GENERATE_SHLIB_SCRIPT=yes
18923 +MAXPAGESIZE=0x1000
18924 +TEXT_START_ADDR=0x00001000
18925 +NOP=0xd703d703
18926 +
18927 +# This appears to place the GOT before the data section, which is
18928 +# essential for uClinux.  We don't use those .s* sections on AVR32
18929 +# anyway, so it shouldn't hurt for regular Linux either...
18930 +NO_SMALL_DATA=yes
18931 --- /dev/null
18932 +++ b/ld/emultempl/avr32elf.em
18933 @@ -0,0 +1,162 @@
18934 +# This shell script emits a C file. -*- C -*-
18935 +#   Copyright (C) 2007,2008,2009 Atmel Corporation
18936 +#
18937 +# This file is part of GLD, the Gnu Linker.
18938 +#
18939 +# This program is free software; you can redistribute it and/or modify
18940 +# it under the terms of the GNU General Public License as published by
18941 +# the Free Software Foundation; either version 2 of the License, or
18942 +# (at your option) any later version.
18943 +#
18944 +# This program is distributed in the hope that it will be useful,
18945 +# but WITHOUT ANY WARRANTY; without even the implied warranty of
18946 +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18947 +# GNU General Public License for more details.
18948 +#
18949 +# You should have received a copy of the GNU General Public License
18950 +# along with this program; if not, write to the Free Software
18951 +# Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston, MA 02110-1301, USA.
18952 +#
18953 +
18954 +# This file is sourced from elf32.em, and defines extra avr32-elf
18955 +# specific routines.
18956 +#
18957 +
18958 +# Generate linker script for writable rodata
18959 +LD_FLAG=rodata-writable
18960 +DATA_ALIGNMENT=${DATA_ALIGNMENT_}
18961 +RELOCATING=" "
18962 +WRITABLE_RODATA=" "
18963 +( echo "/* Linker script for writable rodata */"
18964 +  . ${CUSTOMIZER_SCRIPT} ${EMULATION_NAME}
18965 +  . ${srcdir}/scripttempl/${SCRIPT_NAME}.sc
18966 +) | sed -e '/^ *$/d;s/[        ]*$//' > ldscripts/${EMULATION_NAME}.xwr
18967 +
18968 +
18969 +cat >> e${EMULATION_NAME}.c <<EOF
18970 +
18971 +#include "libbfd.h"
18972 +#include "elf32-avr32.h"
18973 +
18974 +/* Whether to allow direct references (sub or mov) to SEC_DATA and
18975 +   !SEC_CONTENTS sections when optimizing.  Not enabled by default
18976 +   since it might cause link errors.  */
18977 +static int direct_data_refs = 0;
18978 +
18979 +static void avr32_elf_after_open (void)
18980 +{
18981 +  bfd_elf32_avr32_set_options (&link_info, direct_data_refs);
18982 +  gld${EMULATION_NAME}_after_open ();
18983 +}
18984 +
18985 +static int rodata_writable = 0;
18986 +
18987 +static int stack_size = 0x1000;
18988 +
18989 +static void avr32_elf_set_symbols (void)
18990 +{
18991 +  /* Glue the assignments into the abs section.  */
18992 +  lang_statement_list_type *save = stat_ptr;
18993 +
18994 +
18995 +  stat_ptr = &(abs_output_section->children);
18996 +
18997 +  lang_add_assignment (exp_assop ('=', "_stack_size",
18998 +                                  exp_intop (stack_size)));
18999 +  
19000 +  stat_ptr = save;
19001 +}
19002 +
19003 +static char * gld${EMULATION_NAME}_get_script (int *isfile);
19004 +
19005 +static char * avr32_elf_get_script (int *isfile)
19006 +{
19007 +  if ( rodata_writable )
19008 +    {
19009 +EOF
19010 +if test -n "$COMPILE_IN"
19011 +then
19012 +# Scripts compiled in.
19013 +
19014 +# sed commands to quote an ld script as a C string.
19015 +sc="-f stringify.sed"
19016 +
19017 +cat >>e${EMULATION_NAME}.c <<EOF
19018 +      *isfile = 0;
19019 +      return
19020 +EOF
19021 +sed $sc ldscripts/${EMULATION_NAME}.xwr                        >> e${EMULATION_NAME}.c
19022 +echo  ';'                                              >> e${EMULATION_NAME}.c
19023 +else
19024 +# Scripts read from the filesystem.
19025 +
19026 +cat >>e${EMULATION_NAME}.c <<EOF
19027 +      *isfile = 1;
19028 +      return "ldscripts/${EMULATION_NAME}.xwr";
19029 +EOF
19030 +fi
19031 +
19032 +cat >>e${EMULATION_NAME}.c <<EOF
19033 +    }
19034 +  return gld${EMULATION_NAME}_get_script (isfile);
19035 +}
19036 +
19037 +
19038 +EOF
19039 +
19040 +# Define some shell vars to insert bits of code into the standard elf
19041 +# parse_args and list_options functions.
19042 +#
19043 +PARSE_AND_LIST_PROLOGUE='
19044 +#define OPTION_DIRECT_DATA             300
19045 +#define OPTION_NO_DIRECT_DATA          301
19046 +#define OPTION_RODATA_WRITABLE         302
19047 +#define OPTION_NO_RODATA_WRITABLE      303
19048 +#define OPTION_STACK                   304
19049 +'
19050 +
19051 +PARSE_AND_LIST_LONGOPTS='
19052 +  { "direct-data", no_argument, NULL, OPTION_DIRECT_DATA },
19053 +  { "no-direct-data", no_argument, NULL, OPTION_NO_DIRECT_DATA },
19054 +  { "rodata-writable", no_argument, NULL, OPTION_RODATA_WRITABLE },
19055 +  { "no-rodata-writable", no_argument, NULL, OPTION_NO_RODATA_WRITABLE },
19056 +  { "stack", required_argument, NULL, OPTION_STACK },
19057 +'
19058 +
19059 +PARSE_AND_LIST_OPTIONS='
19060 +  fprintf (file, _("  --direct-data\t\tAllow direct data references when optimizing\n"));
19061 +  fprintf (file, _("  --no-direct-data\tDo not allow direct data references when optimizing\n"));
19062 +  fprintf (file, _("  --rodata-writable\tPut read-only data in writable data section\n"));
19063 +  fprintf (file, _("  --no-rodata-writable\tDo not put read-only data in writable data section\n"));
19064 +  fprintf (file, _("  --stack <size>\tSet the initial size of the stack\n"));
19065 +'
19066 +
19067 +PARSE_AND_LIST_ARGS_CASES='
19068 +    case OPTION_DIRECT_DATA:
19069 +      direct_data_refs = 1;
19070 +      break;
19071 +    case OPTION_NO_DIRECT_DATA:
19072 +      direct_data_refs = 0;
19073 +      break;
19074 +    case OPTION_RODATA_WRITABLE:
19075 +      rodata_writable = 1;
19076 +      break;
19077 +    case OPTION_NO_RODATA_WRITABLE:
19078 +      rodata_writable = 0;
19079 +      break;
19080 +    case OPTION_STACK: 
19081 +     {
19082 +      char *end;
19083 +      stack_size = strtoul (optarg, &end, 0);
19084 +      if (end == optarg)
19085 +        einfo (_("%P%F: invalid hex number for parameter '%s'\n"), optarg);
19086 +      optarg = end;
19087 +      break;
19088 +     }
19089 +'
19090 +
19091 +# Replace some of the standard ELF functions with our own versions.
19092 +#
19093 +LDEMUL_AFTER_OPEN=avr32_elf_after_open
19094 +LDEMUL_GET_SCRIPT=avr32_elf_get_script
19095 +LDEMUL_SET_SYMBOLS=avr32_elf_set_symbols
19096 --- a/ld/Makefile.am
19097 +++ b/ld/Makefile.am
19098 @@ -162,6 +162,53 @@ ALL_EMULATION_SOURCES = \
19099         eavr5.c \
19100         eavr51.c \
19101         eavr6.c \
19102 +       eavr32elf_ap7000.c \
19103 +       eavr32elf_ap7001.c \
19104 +       eavr32elf_ap7002.c \
19105 +       eavr32elf_ap7200.c \
19106 +       eavr32elf_uc3a0128.c \
19107 +       eavr32elf_uc3a0256.c \
19108 +       eavr32elf_uc3a0512.c \
19109 +       eavr32elf_uc3a0512es.c \
19110 +       eavr32elf_uc3a1128.c \
19111 +       eavr32elf_uc3a1256.c \
19112 +       eavr32elf_uc3a1512es.c \
19113 +       eavr32elf_uc3a1512.c \
19114 +       eavr32elf_uc3a364.c \
19115 +       eavr32elf_uc3a364s.c \
19116 +       eavr32elf_uc3a3128.c \
19117 +       eavr32elf_uc3a3128s.c \
19118 +       eavr32elf_uc3a3256.c \
19119 +       eavr32elf_uc3a3256s.c \
19120 +       eavr32elf_uc3b064.c \
19121 +       eavr32elf_uc3b0128.c \
19122 +       eavr32elf_uc3b0256es.c \
19123 +       eavr32elf_uc3b0256.c \
19124 +       eavr32elf_uc3b0512.c \
19125 +       eavr32elf_uc3b0512revc.c \
19126 +       eavr32elf_uc3b164.c \
19127 +       eavr32elf_uc3b1128.c \
19128 +       eavr32elf_uc3b1256es.c \
19129 +       eavr32elf_uc3b1256.c \
19130 +       eavr32elf_uc3b1512.c \
19131 +       eavr32elf_uc3b1512revc.c \
19132 +       eavr32elf_uc3c064c.c \
19133 +       eavr32elf_uc3c0128c.c \
19134 +       eavr32elf_uc3c0256c.c \
19135 +       eavr32elf_uc3c0512crevc.c \
19136 +       eavr32elf_uc3c164c.c \
19137 +       eavr32elf_uc3c1128c.c \
19138 +       eavr32elf_uc3c1256c.c \
19139 +       eavr32elf_uc3c1512crevc.c \
19140 +       eavr32elf_uc3c264c.c \
19141 +       eavr32elf_uc3c2128c.c \
19142 +       eavr32elf_uc3c2256c.c \
19143 +       eavr32elf_uc3c2512crevc.c \
19144 +       eavr32elf_uc3l064.c \
19145 +       eavr32elf_uc3l032.c \
19146 +       eavr32elf_uc3l016.c \
19147 +       eavr32elf_uc3l064revb.c \
19148 +       eavr32linux.c \
19149         ecoff_i860.c \
19150         ecoff_sparc.c \
19151         eelf32_spu.c \
19152 @@ -760,6 +807,214 @@ eavr6.c: $(srcdir)/emulparams/avr6.sh $(
19153    $(ELF_DEPS) $(srcdir)/scripttempl/avr.sc \
19154    ${GEN_DEPENDS}
19155         ${GENSCRIPTS} avr6 "$(tdir_avr2)"
19156 +eavr32elf_ap7000.c: $(srcdir)/emulparams/avr32elf.sh \
19157 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19158 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19159 +       ${GENSCRIPTS} avr32elf_ap7000 "$(tdir_avr32)" avr32elf
19160 +eavr32elf_ap7001.c: $(srcdir)/emulparams/avr32elf.sh \
19161 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19162 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19163 +       ${GENSCRIPTS} avr32elf_ap7001 "$(tdir_avr32)" avr32elf
19164 +eavr32elf_ap7002.c: $(srcdir)/emulparams/avr32elf.sh \
19165 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19166 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19167 +       ${GENSCRIPTS} avr32elf_ap7002 "$(tdir_avr32)" avr32elf
19168 +eavr32elf_ap7200.c: $(srcdir)/emulparams/avr32elf.sh \
19169 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19170 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19171 +       ${GENSCRIPTS} avr32elf_ap7200 "$(tdir_avr32)" avr32elf
19172 +eavr32elf_uc3a0128.c: $(srcdir)/emulparams/avr32elf.sh \
19173 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19174 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19175 +       ${GENSCRIPTS} avr32elf_uc3a0128 "$(tdir_avr32)" avr32elf
19176 +eavr32elf_uc3a0256.c: $(srcdir)/emulparams/avr32elf.sh \
19177 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19178 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19179 +       ${GENSCRIPTS} avr32elf_uc3a0256 "$(tdir_avr32)" avr32elf
19180 +eavr32elf_uc3a0512.c: $(srcdir)/emulparams/avr32elf.sh \
19181 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19182 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19183 +       ${GENSCRIPTS} avr32elf_uc3a0512 "$(tdir_avr32)" avr32elf
19184 +eavr32elf_uc3a0512es.c: $(srcdir)/emulparams/avr32elf.sh \
19185 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19186 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19187 +       ${GENSCRIPTS} avr32elf_uc3a0512es "$(tdir_avr32)" avr32elf
19188 +eavr32elf_uc3a1128.c: $(srcdir)/emulparams/avr32elf.sh \
19189 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19190 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19191 +       ${GENSCRIPTS} avr32elf_uc3a1128 "$(tdir_avr32)" avr32elf
19192 +eavr32elf_uc3a1256.c: $(srcdir)/emulparams/avr32elf.sh \
19193 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19194 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19195 +       ${GENSCRIPTS} avr32elf_uc3a1256 "$(tdir_avr32)" avr32elf
19196 +eavr32elf_uc3a1512.c: $(srcdir)/emulparams/avr32elf.sh \
19197 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19198 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19199 +       ${GENSCRIPTS} avr32elf_uc3a1512 "$(tdir_avr32)" avr32elf
19200 +eavr32elf_uc3a1512es.c: $(srcdir)/emulparams/avr32elf.sh \
19201 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19202 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19203 +       ${GENSCRIPTS} avr32elf_uc3a1512es "$(tdir_avr32)" avr32elf
19204 +eavr32elf_uc3a364.c: $(srcdir)/emulparams/avr32elf.sh \
19205 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19206 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19207 +       ${GENSCRIPTS} avr32elf_uc3a364 "$(tdir_avr32)" avr32elf
19208 +eavr32elf_uc3a364s.c: $(srcdir)/emulparams/avr32elf.sh \
19209 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19210 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19211 +       ${GENSCRIPTS} avr32elf_uc3a364s "$(tdir_avr32)" avr32elf
19212 +eavr32elf_uc3a3128.c: $(srcdir)/emulparams/avr32elf.sh \
19213 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19214 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19215 +       ${GENSCRIPTS} avr32elf_uc3a3128 "$(tdir_avr32)" avr32elf
19216 +eavr32elf_uc3a3128s.c: $(srcdir)/emulparams/avr32elf.sh \
19217 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19218 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19219 +       ${GENSCRIPTS} avr32elf_uc3a3128s "$(tdir_avr32)" avr32elf
19220 +eavr32elf_uc3a3256.c: $(srcdir)/emulparams/avr32elf.sh \
19221 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19222 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19223 +       ${GENSCRIPTS} avr32elf_uc3a3256 "$(tdir_avr32)" avr32elf
19224 +eavr32elf_uc3a3256s.c: $(srcdir)/emulparams/avr32elf.sh \
19225 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19226 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19227 +       ${GENSCRIPTS} avr32elf_uc3a3256s "$(tdir_avr32)" avr32elf
19228 +eavr32elf_uc3b064.c: $(srcdir)/emulparams/avr32elf.sh \
19229 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19230 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19231 +       ${GENSCRIPTS} avr32elf_uc3b064 "$(tdir_avr32)" avr32elf
19232 +eavr32elf_uc3b0128.c: $(srcdir)/emulparams/avr32elf.sh \
19233 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19234 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19235 +       ${GENSCRIPTS} avr32elf_uc3b0128 "$(tdir_avr32)" avr32elf
19236 +eavr32elf_uc3b0256.c: $(srcdir)/emulparams/avr32elf.sh \
19237 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19238 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19239 +       ${GENSCRIPTS} avr32elf_uc3b0256 "$(tdir_avr32)" avr32elf
19240 +eavr32elf_uc3b0256es.c: $(srcdir)/emulparams/avr32elf.sh \
19241 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19242 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19243 +       ${GENSCRIPTS} avr32elf_uc3b0256es "$(tdir_avr32)" avr32elf
19244 +eavr32elf_uc3b0512.c: $(srcdir)/emulparams/avr32elf.sh \
19245 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19246 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19247 +       ${GENSCRIPTS} avr32elf_uc3b0512 "$(tdir_avr32)" avr32elf
19248 +eavr32elf_uc3b0512revc.c: $(srcdir)/emulparams/avr32elf.sh \
19249 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19250 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19251 +       ${GENSCRIPTS} avr32elf_uc3b0512revc "$(tdir_avr32)" avr32elf
19252 +eavr32elf_uc3b164.c: $(srcdir)/emulparams/avr32elf.sh \
19253 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19254 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19255 +       ${GENSCRIPTS} avr32elf_uc3b164 "$(tdir_avr32)" avr32elf
19256 +eavr32elf_uc3b1128.c: $(srcdir)/emulparams/avr32elf.sh \
19257 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19258 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19259 +       ${GENSCRIPTS} avr32elf_uc3b1128 "$(tdir_avr32)" avr32elf
19260 +eavr32elf_uc3b1256.c: $(srcdir)/emulparams/avr32elf.sh \
19261 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19262 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19263 +       ${GENSCRIPTS} avr32elf_uc3b1256 "$(tdir_avr32)" avr32elf
19264 +eavr32elf_uc3b1256es.c: $(srcdir)/emulparams/avr32elf.sh \
19265 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19266 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19267 +       ${GENSCRIPTS} avr32elf_uc3b1256es "$(tdir_avr32)" avr32elf
19268 +eavr32elf_uc3b1512.c: $(srcdir)/emulparams/avr32elf.sh \
19269 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19270 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19271 +       ${GENSCRIPTS} avr32elf_uc3b1512 "$(tdir_avr32)" avr32elf
19272 +eavr32elf_uc3b1512revc.c: $(srcdir)/emulparams/avr32elf.sh \
19273 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19274 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19275 +       ${GENSCRIPTS} avr32elf_uc3b1512revc "$(tdir_avr32)" avr32elf
19276 +eavr32elf_uc3c0512crevc.c: $(srcdir)/emulparams/avr32elf.sh \
19277 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19278 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19279 +       ${GENSCRIPTS} avr32elf_uc3c0512crevc "$(tdir_avr32)" avr32elf
19280 +eavr32elf_uc3c1512crevc.c: $(srcdir)/emulparams/avr32elf.sh \
19281 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19282 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19283 +       ${GENSCRIPTS} avr32elf_uc3c1512crevc "$(tdir_avr32)" avr32elf
19284 +eavr32elf_uc3c2512crevc.c: $(srcdir)/emulparams/avr32elf.sh \
19285 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19286 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19287 +       ${GENSCRIPTS} avr32elf_uc3c2512crevc "$(tdir_avr32)" avr32elf
19288 +eavr32elf_atuc3l0256.c: $(srcdir)/emulparams/avr32elf.sh \
19289 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19290 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19291 +       ${GENSCRIPTS} avr32elf_atuc3l0256 "$(tdir_avr32)" avr32elf
19292 +eavr32elf_mxt768e.c: $(srcdir)/emulparams/avr32elf.sh \
19293 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19294 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19295 +       ${GENSCRIPTS} avr32elf_mxt768e "$(tdir_avr32)" avr32elf
19296 +eavr32elf_uc3l064.c: $(srcdir)/emulparams/avr32elf.sh \
19297 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19298 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19299 +       ${GENSCRIPTS} avr32elf_uc3l064 "$(tdir_avr32)" avr32elf
19300 +eavr32elf_uc3l032.c: $(srcdir)/emulparams/avr32elf.sh \
19301 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19302 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19303 +       ${GENSCRIPTS} avr32elf_uc3l032 "$(tdir_avr32)" avr32elf
19304 +eavr32elf_uc3l016.c: $(srcdir)/emulparams/avr32elf.sh \
19305 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19306 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19307 +       ${GENSCRIPTS} avr32elf_uc3l016 "$(tdir_avr32)" avr32elf
19308 +eavr32elf_uc3l064revb.c: $(srcdir)/emulparams/avr32elf.sh \
19309 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19310 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19311 +       ${GENSCRIPTS} avr32elf_uc3l064revb "$(tdir_avr32)" avr32elf
19312 +eavr32elf_uc3c064c.c: $(srcdir)/emulparams/avr32elf.sh \
19313 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19314 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19315 +       ${GENSCRIPTS} avr32elf_uc3c064c "$(tdir_avr32)" avr32elf
19316 +eavr32elf_uc3c0128c.c: $(srcdir)/emulparams/avr32elf.sh \
19317 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19318 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19319 +       ${GENSCRIPTS} avr32elf_uc3c0128c "$(tdir_avr32)" avr32elf
19320 +eavr32elf_uc3c0256c.c: $(srcdir)/emulparams/avr32elf.sh \
19321 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19322 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19323 +       ${GENSCRIPTS} avr32elf_uc3c0256c "$(tdir_avr32)" avr32elf
19324 +eavr32elf_uc3c0512c.c: $(srcdir)/emulparams/avr32elf.sh \
19325 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19326 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19327 +       ${GENSCRIPTS} avr32elf_uc3c0512c "$(tdir_avr32)" avr32elf
19328 +eavr32elf_uc3c164c.c: $(srcdir)/emulparams/avr32elf.sh \
19329 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19330 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19331 +       ${GENSCRIPTS} avr32elf_uc3c164c "$(tdir_avr32)" avr32elf
19332 +eavr32elf_uc3c1128c.c: $(srcdir)/emulparams/avr32elf.sh \
19333 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19334 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19335 +       ${GENSCRIPTS} avr32elf_uc3c1128c "$(tdir_avr32)" avr32elf
19336 +eavr32elf_uc3c1256c.c: $(srcdir)/emulparams/avr32elf.sh \
19337 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19338 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19339 +       ${GENSCRIPTS} avr32elf_uc3c1256c "$(tdir_avr32)" avr32elf
19340 +eavr32elf_uc3c1512c.c: $(srcdir)/emulparams/avr32elf.sh \
19341 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19342 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19343 +       ${GENSCRIPTS} avr32elf_uc3c1512c "$(tdir_avr32)" avr32elf
19344 +eavr32elf_uc3c264c.c: $(srcdir)/emulparams/avr32elf.sh \
19345 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19346 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19347 +       ${GENSCRIPTS} avr32elf_uc3c264c "$(tdir_avr32)" avr32elf
19348 +eavr32elf_uc3c2128c.c: $(srcdir)/emulparams/avr32elf.sh \
19349 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19350 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19351 +       ${GENSCRIPTS} avr32elf_uc3c2128c "$(tdir_avr32)" avr32elf
19352 +eavr32elf_uc3c2256c.c: $(srcdir)/emulparams/avr32elf.sh \
19353 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19354 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19355 +       ${GENSCRIPTS} avr32elf_uc3c2256c "$(tdir_avr32)" avr32elf
19356 +eavr32elf_uc3c2512c.c: $(srcdir)/emulparams/avr32elf.sh \
19357 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19358 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19359 +       ${GENSCRIPTS} avr32elf_uc3c2512c "$(tdir_avr32)" avr32elf
19360 +eavr32linux.c: $(srcdir)/emulparams/avr32linux.sh \
19361 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19362 +  $(srcdir)/scripttempl/elf.sc ${GEN_DEPENDS}
19363 +       ${GENSCRIPTS} avr32linux "$(tdir_avr32)"
19364  ecoff_i860.c: $(srcdir)/emulparams/coff_i860.sh \
19365    $(srcdir)/emultempl/generic.em $(srcdir)/scripttempl/i860coff.sc ${GEN_DEPENDS}
19366         ${GENSCRIPTS} coff_i860 "$(tdir_coff_i860)"
19367 @@ -2052,7 +2307,9 @@ install-exec-local: ld-new$(EXEEXT) inst
19368           fi; \
19369         fi
19370  
19371 -install-data-local:
19372 +# We want install to imply install-info as per GNU standards, despite the
19373 +# cygnus option.
19374 +install-data-local: install-info
19375         $(mkinstalldirs) $(DESTDIR)$(scriptdir)/ldscripts
19376         for f in ldscripts/*; do \
19377           $(INSTALL_DATA) $$f $(DESTDIR)$(scriptdir)/$$f ; \
19378 --- a/ld/Makefile.in
19379 +++ b/ld/Makefile.in
19380 @@ -462,6 +462,53 @@ ALL_EMULATION_SOURCES = \
19381         eavr5.c \
19382         eavr51.c \
19383         eavr6.c \
19384 +       eavr32elf_ap7000.c \
19385 +       eavr32elf_ap7001.c \
19386 +       eavr32elf_ap7002.c \
19387 +       eavr32elf_ap7200.c \
19388 +       eavr32elf_uc3a0128.c \
19389 +       eavr32elf_uc3a0256.c \
19390 +       eavr32elf_uc3a0512.c \
19391 +       eavr32elf_uc3a0512es.c \
19392 +       eavr32elf_uc3a1128.c \
19393 +       eavr32elf_uc3a1256.c \
19394 +       eavr32elf_uc3a1512es.c \
19395 +       eavr32elf_uc3a1512.c \
19396 +       eavr32elf_uc3a364.c \
19397 +       eavr32elf_uc3a364s.c \
19398 +       eavr32elf_uc3a3128.c \
19399 +       eavr32elf_uc3a3128s.c \
19400 +       eavr32elf_uc3a3256.c \
19401 +       eavr32elf_uc3a3256s.c \
19402 +       eavr32elf_uc3b064.c \
19403 +       eavr32elf_uc3b0128.c \
19404 +       eavr32elf_uc3b0256es.c \
19405 +       eavr32elf_uc3b0256.c \
19406 +       eavr32elf_uc3b0512.c \
19407 +       eavr32elf_uc3b0512revc.c \
19408 +       eavr32elf_uc3b164.c \
19409 +       eavr32elf_uc3b1128.c \
19410 +       eavr32elf_uc3b1256es.c \
19411 +       eavr32elf_uc3b1256.c \
19412 +       eavr32elf_uc3b1512.c \
19413 +       eavr32elf_uc3b1512revc.c \
19414 +       eavr32elf_uc3c064c.c \
19415 +       eavr32elf_uc3c0128c.c \
19416 +       eavr32elf_uc3c0256c.c \
19417 +       eavr32elf_uc3c0512crevc.c \
19418 +       eavr32elf_uc3c164c.c \
19419 +       eavr32elf_uc3c1128c.c \
19420 +       eavr32elf_uc3c1256c.c \
19421 +       eavr32elf_uc3c1512crevc.c \
19422 +       eavr32elf_uc3c264c.c \
19423 +       eavr32elf_uc3c2128c.c \
19424 +       eavr32elf_uc3c2256c.c \
19425 +       eavr32elf_uc3c2512crevc.c \
19426 +       eavr32elf_uc3l064.c \
19427 +       eavr32elf_uc3l032.c \
19428 +       eavr32elf_uc3l016.c \
19429 +       eavr32elf_uc3l064revb.c \
19430 +       eavr32linux.c \
19431         ecoff_i860.c \
19432         ecoff_sparc.c \
19433         eelf32_spu.c \
19434 @@ -2183,6 +2230,194 @@ eavr6.c: $(srcdir)/emulparams/avr6.sh $(
19435    $(ELF_DEPS) $(srcdir)/scripttempl/avr.sc \
19436    ${GEN_DEPENDS}
19437         ${GENSCRIPTS} avr6 "$(tdir_avr2)"
19438 +eavr32elf_ap7000.c: $(srcdir)/emulparams/avr32elf.sh \
19439 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19440 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19441 +       ${GENSCRIPTS} avr32elf_ap7000 "$(tdir_avr32)" avr32elf
19442 +eavr32elf_ap7001.c: $(srcdir)/emulparams/avr32elf.sh \
19443 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19444 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19445 +       ${GENSCRIPTS} avr32elf_ap7001 "$(tdir_avr32)" avr32elf
19446 +eavr32elf_ap7002.c: $(srcdir)/emulparams/avr32elf.sh \
19447 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19448 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19449 +       ${GENSCRIPTS} avr32elf_ap7002 "$(tdir_avr32)" avr32elf
19450 +eavr32elf_ap7200.c: $(srcdir)/emulparams/avr32elf.sh \
19451 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19452 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19453 +       ${GENSCRIPTS} avr32elf_ap7200 "$(tdir_avr32)" avr32elf
19454 +eavr32elf_uc3a0128.c: $(srcdir)/emulparams/avr32elf.sh \
19455 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19456 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19457 +       ${GENSCRIPTS} avr32elf_uc3a0128 "$(tdir_avr32)" avr32elf
19458 +eavr32elf_uc3a0256.c: $(srcdir)/emulparams/avr32elf.sh \
19459 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19460 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19461 +       ${GENSCRIPTS} avr32elf_uc3a0256 "$(tdir_avr32)" avr32elf
19462 +eavr32elf_uc3a0512.c: $(srcdir)/emulparams/avr32elf.sh \
19463 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19464 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19465 +       ${GENSCRIPTS} avr32elf_uc3a0512 "$(tdir_avr32)" avr32elf
19466 +eavr32elf_uc3a0512es.c: $(srcdir)/emulparams/avr32elf.sh \
19467 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19468 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19469 +       ${GENSCRIPTS} avr32elf_uc3a0512es "$(tdir_avr32)" avr32elf
19470 +eavr32elf_uc3a1128.c: $(srcdir)/emulparams/avr32elf.sh \
19471 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19472 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19473 +       ${GENSCRIPTS} avr32elf_uc3a1128 "$(tdir_avr32)" avr32elf
19474 +eavr32elf_uc3a1256.c: $(srcdir)/emulparams/avr32elf.sh \
19475 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19476 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19477 +       ${GENSCRIPTS} avr32elf_uc3a1256 "$(tdir_avr32)" avr32elf
19478 +eavr32elf_uc3a1512.c: $(srcdir)/emulparams/avr32elf.sh \
19479 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19480 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19481 +       ${GENSCRIPTS} avr32elf_uc3a1512 "$(tdir_avr32)" avr32elf
19482 +eavr32elf_uc3a1512es.c: $(srcdir)/emulparams/avr32elf.sh \
19483 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19484 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19485 +       ${GENSCRIPTS} avr32elf_uc3a1512es "$(tdir_avr32)" avr32elf
19486 +eavr32elf_uc3a364.c: $(srcdir)/emulparams/avr32elf.sh \
19487 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19488 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19489 +       ${GENSCRIPTS} avr32elf_uc3a364 "$(tdir_avr32)" avr32elf
19490 +eavr32elf_uc3a364s.c: $(srcdir)/emulparams/avr32elf.sh \
19491 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19492 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19493 +       ${GENSCRIPTS} avr32elf_uc3a364s "$(tdir_avr32)" avr32elf
19494 +eavr32elf_uc3a3128.c: $(srcdir)/emulparams/avr32elf.sh \
19495 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19496 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19497 +       ${GENSCRIPTS} avr32elf_uc3a3128 "$(tdir_avr32)" avr32elf
19498 +eavr32elf_uc3a3128s.c: $(srcdir)/emulparams/avr32elf.sh \
19499 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19500 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19501 +       ${GENSCRIPTS} avr32elf_uc3a3128s "$(tdir_avr32)" avr32elf
19502 +eavr32elf_uc3a3256.c: $(srcdir)/emulparams/avr32elf.sh \
19503 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19504 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19505 +       ${GENSCRIPTS} avr32elf_uc3a3256 "$(tdir_avr32)" avr32elf
19506 +eavr32elf_uc3a3256s.c: $(srcdir)/emulparams/avr32elf.sh \
19507 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19508 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19509 +       ${GENSCRIPTS} avr32elf_uc3a3256s "$(tdir_avr32)" avr32elf
19510 +eavr32elf_uc3b064.c: $(srcdir)/emulparams/avr32elf.sh \
19511 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19512 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19513 +       ${GENSCRIPTS} avr32elf_uc3b064 "$(tdir_avr32)" avr32elf
19514 +eavr32elf_uc3b0128.c: $(srcdir)/emulparams/avr32elf.sh \
19515 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19516 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19517 +       ${GENSCRIPTS} avr32elf_uc3b0128 "$(tdir_avr32)" avr32elf
19518 +eavr32elf_uc3b0256.c: $(srcdir)/emulparams/avr32elf.sh \
19519 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19520 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19521 +       ${GENSCRIPTS} avr32elf_uc3b0256 "$(tdir_avr32)" avr32elf
19522 +eavr32elf_uc3b0256es.c: $(srcdir)/emulparams/avr32elf.sh \
19523 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19524 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19525 +       ${GENSCRIPTS} avr32elf_uc3b0256es "$(tdir_avr32)" avr32elf
19526 +eavr32elf_uc3b0512.c: $(srcdir)/emulparams/avr32elf.sh \
19527 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19528 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19529 +       ${GENSCRIPTS} avr32elf_uc3b0512 "$(tdir_avr32)" avr32elf
19530 +eavr32elf_uc3b0512revc.c: $(srcdir)/emulparams/avr32elf.sh \
19531 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19532 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19533 +       ${GENSCRIPTS} avr32elf_uc3b0512revc "$(tdir_avr32)" avr32elf
19534 +eavr32elf_uc3b164.c: $(srcdir)/emulparams/avr32elf.sh \
19535 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19536 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19537 +       ${GENSCRIPTS} avr32elf_uc3b164 "$(tdir_avr32)" avr32elf
19538 +eavr32elf_uc3b1128.c: $(srcdir)/emulparams/avr32elf.sh \
19539 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19540 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19541 +       ${GENSCRIPTS} avr32elf_uc3b1128 "$(tdir_avr32)" avr32elf
19542 +eavr32elf_uc3b1256.c: $(srcdir)/emulparams/avr32elf.sh \
19543 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19544 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19545 +       ${GENSCRIPTS} avr32elf_uc3b1256 "$(tdir_avr32)" avr32elf
19546 +eavr32elf_uc3b1256es.c: $(srcdir)/emulparams/avr32elf.sh \
19547 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19548 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19549 +       ${GENSCRIPTS} avr32elf_uc3b1256es "$(tdir_avr32)" avr32elf
19550 +eavr32elf_uc3b1512.c: $(srcdir)/emulparams/avr32elf.sh \
19551 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19552 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19553 +       ${GENSCRIPTS} avr32elf_uc3b1512 "$(tdir_avr32)" avr32elf
19554 +eavr32elf_uc3b1512revc.c: $(srcdir)/emulparams/avr32elf.sh \
19555 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19556 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19557 +       ${GENSCRIPTS} avr32elf_uc3b1512revc "$(tdir_avr32)" avr32elf
19558 +eavr32elf_uc3c064c.c: $(srcdir)/emulparams/avr32elf.sh \
19559 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19560 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19561 +       ${GENSCRIPTS} avr32elf_uc3c064c "$(tdir_avr32)" avr32elf
19562 +eavr32elf_uc3c0128c.c: $(srcdir)/emulparams/avr32elf.sh \
19563 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19564 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19565 +       ${GENSCRIPTS} avr32elf_uc3c0128c "$(tdir_avr32)" avr32elf
19566 +eavr32elf_uc3c0256c.c: $(srcdir)/emulparams/avr32elf.sh \
19567 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19568 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19569 +       ${GENSCRIPTS} avr32elf_uc3c0256c "$(tdir_avr32)" avr32elf
19570 +eavr32elf_uc3c0512crevc.c: $(srcdir)/emulparams/avr32elf.sh \
19571 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19572 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19573 +       ${GENSCRIPTS} avr32elf_uc3c0512crevc "$(tdir_avr32)" avr32elf
19574 +eavr32elf_uc3c164c.c: $(srcdir)/emulparams/avr32elf.sh \
19575 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19576 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19577 +       ${GENSCRIPTS} avr32elf_uc3c164c "$(tdir_avr32)" avr32elf
19578 +eavr32elf_uc3c1128c.c: $(srcdir)/emulparams/avr32elf.sh \
19579 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19580 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19581 +       ${GENSCRIPTS} avr32elf_uc3c1128c "$(tdir_avr32)" avr32elf
19582 +eavr32elf_uc3c1256c.c: $(srcdir)/emulparams/avr32elf.sh \
19583 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19584 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19585 +       ${GENSCRIPTS} avr32elf_uc3c1256c "$(tdir_avr32)" avr32elf
19586 +eavr32elf_uc3c1512crevc.c: $(srcdir)/emulparams/avr32elf.sh \
19587 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19588 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19589 +       ${GENSCRIPTS} avr32elf_uc3c1512crevc "$(tdir_avr32)" avr32elf
19590 +eavr32elf_uc3c264c.c: $(srcdir)/emulparams/avr32elf.sh \
19591 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19592 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19593 +       ${GENSCRIPTS} avr32elf_uc3c264c "$(tdir_avr32)" avr32elf
19594 +eavr32elf_uc3c2128c.c: $(srcdir)/emulparams/avr32elf.sh \
19595 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19596 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19597 +       ${GENSCRIPTS} avr32elf_uc3c2128c "$(tdir_avr32)" avr32elf
19598 +eavr32elf_uc3c2256c.c: $(srcdir)/emulparams/avr32elf.sh \
19599 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19600 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19601 +       ${GENSCRIPTS} avr32elf_uc3c2256c "$(tdir_avr32)" avr32elf
19602 +eavr32elf_uc3c2512crevc.c: $(srcdir)/emulparams/avr32elf.sh \
19603 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19604 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19605 +       ${GENSCRIPTS} avr32elf_uc3c2512crevc "$(tdir_avr32)" avr32elf
19606 +eavr32elf_uc3l064.c: $(srcdir)/emulparams/avr32elf.sh \
19607 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19608 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19609 +       ${GENSCRIPTS} avr32elf_uc3l064 "$(tdir_avr32)" avr32elf
19610 +eavr32elf_uc3l032.c: $(srcdir)/emulparams/avr32elf.sh \
19611 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19612 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19613 +       ${GENSCRIPTS} avr32elf_uc3l032 "$(tdir_avr32)" avr32elf
19614 +eavr32elf_uc3l016.c: $(srcdir)/emulparams/avr32elf.sh \
19615 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19616 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19617 +       ${GENSCRIPTS} avr32elf_uc3l016 "$(tdir_avr32)" avr32elf
19618 +eavr32elf_uc3l064revb.c: $(srcdir)/emulparams/avr32elf.sh \
19619 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19620 +  $(srcdir)/scripttempl/avr32.sc ${GEN_DEPENDS}
19621 +       ${GENSCRIPTS} avr32elf_uc3l064revb "$(tdir_avr32)" avr32elf
19622 +eavr32linux.c: $(srcdir)/emulparams/avr32linux.sh \
19623 +  $(srcdir)/emultempl/elf32.em $(srcdir)/emultempl/avr32elf.em \
19624 +  $(srcdir)/scripttempl/elf.sc ${GEN_DEPENDS}
19625 +       ${GENSCRIPTS} avr32linux "$(tdir_avr32)"
19626  ecoff_i860.c: $(srcdir)/emulparams/coff_i860.sh \
19627    $(srcdir)/emultempl/generic.em $(srcdir)/scripttempl/i860coff.sc ${GEN_DEPENDS}
19628         ${GENSCRIPTS} coff_i860 "$(tdir_coff_i860)"
19629 --- /dev/null
19630 +++ b/ld/scripttempl/avr32.sc
19631 @@ -0,0 +1,459 @@
19632 +#
19633 +# Unusual variables checked by this code:
19634 +#      NOP - four byte opcode for no-op (defaults to 0)
19635 +#      NO_SMALL_DATA - no .sbss/.sbss2/.sdata/.sdata2 sections if not
19636 +#              empty.
19637 +#      SMALL_DATA_CTOR - .ctors contains small data.
19638 +#      SMALL_DATA_DTOR - .dtors contains small data.
19639 +#      DATA_ADDR - if end-of-text-plus-one-page isn't right for data start
19640 +#      INITIAL_READONLY_SECTIONS - at start of text segment
19641 +#      OTHER_READONLY_SECTIONS - other than .text .init .rodata ...
19642 +#              (e.g., .PARISC.milli)
19643 +#      OTHER_TEXT_SECTIONS - these get put in .text when relocating
19644 +#      OTHER_READWRITE_SECTIONS - other than .data .bss .ctors .sdata ...
19645 +#              (e.g., .PARISC.global)
19646 +#      OTHER_RELRO_SECTIONS - other than .data.rel.ro ...
19647 +#              (e.g. PPC32 .fixup, .got[12])
19648 +#      OTHER_BSS_SECTIONS - other than .bss .sbss ...
19649 +#      OTHER_SECTIONS - at the end
19650 +#      EXECUTABLE_SYMBOLS - symbols that must be defined for an
19651 +#              executable (e.g., _DYNAMIC_LINK)
19652 +#       TEXT_START_ADDR - the first byte of the text segment, after any
19653 +#               headers.
19654 +#       TEXT_BASE_ADDRESS - the first byte of the text segment.
19655 +#      TEXT_START_SYMBOLS - symbols that appear at the start of the
19656 +#              .text section.
19657 +#      DATA_START_SYMBOLS - symbols that appear at the start of the
19658 +#              .data section.
19659 +#      OTHER_GOT_SYMBOLS - symbols defined just before .got.
19660 +#      OTHER_GOT_SECTIONS - sections just after .got.
19661 +#      OTHER_SDATA_SECTIONS - sections just after .sdata.
19662 +#      OTHER_BSS_SYMBOLS - symbols that appear at the start of the
19663 +#              .bss section besides __bss_start.
19664 +#      DATA_PLT - .plt should be in data segment, not text segment.
19665 +#      PLT_BEFORE_GOT - .plt just before .got when .plt is in data segement.
19666 +#      BSS_PLT - .plt should be in bss segment
19667 +#      TEXT_DYNAMIC - .dynamic in text segment, not data segment.
19668 +#      EMBEDDED - whether this is for an embedded system.
19669 +#      SHLIB_TEXT_START_ADDR - if set, add to SIZEOF_HEADERS to set
19670 +#              start address of shared library.
19671 +#      INPUT_FILES - INPUT command of files to always include
19672 +#      WRITABLE_RODATA - if set, the .rodata section should be writable
19673 +#      INIT_START, INIT_END -  statements just before and just after
19674 +#      combination of .init sections.
19675 +#      FINI_START, FINI_END - statements just before and just after
19676 +#      combination of .fini sections.
19677 +#      STACK_ADDR - start of a .stack section.
19678 +#      OTHER_END_SYMBOLS - symbols to place right at the end of the script.
19679 +#      SEPARATE_GOTPLT - if set, .got.plt should be separate output section,
19680 +#              so that .got can be in the RELRO area.  It should be set to
19681 +#              the number of bytes in the beginning of .got.plt which can be
19682 +#              in the RELRO area as well.
19683 +#
19684 +# When adding sections, do note that the names of some sections are used
19685 +# when specifying the start address of the next.
19686 +#
19687 +
19688 +#  Many sections come in three flavours.  There is the 'real' section,
19689 +#  like ".data".  Then there are the per-procedure or per-variable
19690 +#  sections, generated by -ffunction-sections and -fdata-sections in GCC,
19691 +#  and useful for --gc-sections, which for a variable "foo" might be
19692 +#  ".data.foo".  Then there are the linkonce sections, for which the linker
19693 +#  eliminates duplicates, which are named like ".gnu.linkonce.d.foo".
19694 +#  The exact correspondences are:
19695 +#
19696 +#  Section     Linkonce section
19697 +#  .text       .gnu.linkonce.t.foo
19698 +#  .rodata     .gnu.linkonce.r.foo
19699 +#  .data       .gnu.linkonce.d.foo
19700 +#  .bss                .gnu.linkonce.b.foo
19701 +#  .sdata      .gnu.linkonce.s.foo
19702 +#  .sbss       .gnu.linkonce.sb.foo
19703 +#  .sdata2     .gnu.linkonce.s2.foo
19704 +#  .sbss2      .gnu.linkonce.sb2.foo
19705 +#  .debug_info .gnu.linkonce.wi.foo
19706 +#  .tdata      .gnu.linkonce.td.foo
19707 +#  .tbss       .gnu.linkonce.tb.foo
19708 +#
19709 +#  Each of these can also have corresponding .rel.* and .rela.* sections.
19710 +
19711 +test -z "$ENTRY" && ENTRY=_start
19712 +test -z "${BIG_OUTPUT_FORMAT}" && BIG_OUTPUT_FORMAT=${OUTPUT_FORMAT}
19713 +test -z "${LITTLE_OUTPUT_FORMAT}" && LITTLE_OUTPUT_FORMAT=${OUTPUT_FORMAT}
19714 +if [ -z "$MACHINE" ]; then OUTPUT_ARCH=${ARCH}; else OUTPUT_ARCH=${ARCH}:${MACHINE}; fi
19715 +test -z "${ELFSIZE}" && ELFSIZE=32
19716 +test -z "${ALIGNMENT}" && ALIGNMENT="${ELFSIZE} / 8"
19717 +test "$LD_FLAG" = "N" && DATA_ADDR=.
19718 +test -n "$CREATE_SHLIB$CREATE_PIE" && test -n "$SHLIB_DATA_ADDR" && COMMONPAGESIZE=""
19719 +test -z "$CREATE_SHLIB$CREATE_PIE" && test -n "$DATA_ADDR" && COMMONPAGESIZE=""
19720 +test -n "$RELRO_NOW" && unset SEPARATE_GOTPLT
19721 +if test -n "$RELOCATING"; then
19722 +  RO_REGION="${RO_VMA_REGION+ >}${RO_VMA_REGION}${RO_LMA_REGION+ AT>}${RO_LMA_REGION}"
19723 +  RW_REGION="${RW_VMA_REGION+ >}${RW_VMA_REGION}${RW_LMA_REGION+ AT>}${RW_LMA_REGION}"
19724 +  RW_BSS_REGION="${RW_VMA_REGION+ >}${RW_VMA_REGION}"
19725 +else
19726 +  RO_REGION=""
19727 +  RW_REGION=""
19728 +  RW_BSS_REGION=""
19729 +fi
19730 +INTERP=".interp       ${RELOCATING-0} : { *(.interp) }${RO_REGION}"
19731 +PLT=".plt          ${RELOCATING-0} : { *(.plt) }"
19732 +if test -z "$GOT"; then
19733 +  if test -z "$SEPARATE_GOTPLT"; then
19734 +    GOT=".got          ${RELOCATING-0} : { *(.got.plt) *(.got) }"
19735 +  else
19736 +    GOT=".got          ${RELOCATING-0} : { *(.got) }"
19737 +    GOTPLT="${RELOCATING+${DATA_SEGMENT_RELRO_GOTPLT_END}}
19738 +  .got.plt      ${RELOCATING-0} : { *(.got.plt) }"
19739 +  fi
19740 +fi
19741 +DALIGN=".dalign        : { . = ALIGN(${DATA_SEGMENT_ALIGN}); PROVIDE(_data_lma = .); }${RO_REGION}"
19742 +BALIGN=".balign        : { . = ALIGN(${BSS_ALIGNMENT}); _edata = .; }${RW_REGION}"
19743 +DYNAMIC=".dynamic      ${RELOCATING-0} : { *(.dynamic) }"
19744 +RODATA=".rodata       ${RELOCATING-0} : { *(.rodata${RELOCATING+ .rodata.* .gnu.linkonce.r.*}) }"
19745 +DATARELRO=".data.rel.ro : { *(.data.rel.ro.local) *(.data.rel.ro*) }${RW_REGION}"
19746 +STACKNOTE="/DISCARD/ : { *(.note.GNU-stack) }"
19747 +if test -z "${NO_SMALL_DATA}"; then
19748 +  SBSS=".sbss         ${RELOCATING-0} :
19749 +  {
19750 +    ${RELOCATING+PROVIDE (__sbss_start = .);}
19751 +    ${RELOCATING+PROVIDE (___sbss_start = .);}
19752 +    ${CREATE_SHLIB+*(.sbss2 .sbss2.* .gnu.linkonce.sb2.*)}
19753 +    *(.dynsbss)
19754 +    *(.sbss${RELOCATING+ .sbss.* .gnu.linkonce.sb.*})
19755 +    *(.scommon)
19756 +    ${RELOCATING+PROVIDE (__sbss_end = .);}
19757 +    ${RELOCATING+PROVIDE (___sbss_end = .);}
19758 +  }${RW_BSS_REGION}"
19759 +  SBSS2=".sbss2        ${RELOCATING-0} : { *(.sbss2${RELOCATING+ .sbss2.* .gnu.linkonce.sb2.*}) }${RW_REGION}"
19760 +  SDATA="/* We want the small data sections together, so single-instruction offsets
19761 +     can access them all, and initialized data all before uninitialized, so
19762 +     we can shorten the on-disk segment size.  */
19763 +  .sdata        ${RELOCATING-0} :
19764 +  {
19765 +    ${RELOCATING+${SDATA_START_SYMBOLS}}
19766 +    ${CREATE_SHLIB+*(.sdata2 .sdata2.* .gnu.linkonce.s2.*)}
19767 +    *(.sdata${RELOCATING+ .sdata.* .gnu.linkonce.s.*})
19768 +  }${RW_REGION}"
19769 +  SDATA2=".sdata2       ${RELOCATING-0} : { *(.sdata2${RELOCATING+ .sdata2.* .gnu.linkonce.s2.*}) }${RW_REGION}"
19770 +  REL_SDATA=".rel.sdata    ${RELOCATING-0} : { *(.rel.sdata${RELOCATING+ .rel.sdata.* .rel.gnu.linkonce.s.*}) }${RO_REGION}
19771 +  .rela.sdata   ${RELOCATING-0} : { *(.rela.sdata${RELOCATING+ .rela.sdata.* .rela.gnu.linkonce.s.*}) }"
19772 +  REL_SBSS=".rel.sbss     ${RELOCATING-0} : { *(.rel.sbss${RELOCATING+ .rel.sbss.* .rel.gnu.linkonce.sb.*}) }${RO_REGION}
19773 +  .rela.sbss    ${RELOCATING-0} : { *(.rela.sbss${RELOCATING+ .rela.sbss.* .rela.gnu.linkonce.sb.*}) }${RO_REGION}"
19774 +  REL_SDATA2=".rel.sdata2   ${RELOCATING-0} : { *(.rel.sdata2${RELOCATING+ .rel.sdata2.* .rel.gnu.linkonce.s2.*}) }${RO_REGION}
19775 +  .rela.sdata2  ${RELOCATING-0} : { *(.rela.sdata2${RELOCATING+ .rela.sdata2.* .rela.gnu.linkonce.s2.*}) }${RO_REGION}"
19776 +  REL_SBSS2=".rel.sbss2    ${RELOCATING-0} : { *(.rel.sbss2${RELOCATING+ .rel.sbss2.* .rel.gnu.linkonce.sb2.*}) }${RO_REGION}
19777 +  .rela.sbss2   ${RELOCATING-0} : { *(.rela.sbss2${RELOCATING+ .rela.sbss2.* .rela.gnu.linkonce.sb2.*}) }${RO_REGION}"
19778 +else
19779 +  NO_SMALL_DATA=" "
19780 +fi
19781 +test -n "$SEPARATE_GOTPLT" && SEPARATE_GOTPLT=" "
19782 +CTOR=".ctors        ${CONSTRUCTING-0} :
19783 +  {
19784 +    ${CONSTRUCTING+${CTOR_START}}
19785 +    /* gcc uses crtbegin.o to find the start of
19786 +       the constructors, so we make sure it is
19787 +       first.  Because this is a wildcard, it
19788 +       doesn't matter if the user does not
19789 +       actually link against crtbegin.o; the
19790 +       linker won't look for a file to match a
19791 +       wildcard.  The wildcard also means that it
19792 +       doesn't matter which directory crtbegin.o
19793 +       is in.  */
19794 +
19795 +    KEEP (*crtbegin*.o(.ctors))
19796 +
19797 +    /* We don't want to include the .ctor section from
19798 +       from the crtend.o file until after the sorted ctors.
19799 +       The .ctor section from the crtend file contains the
19800 +       end of ctors marker and it must be last */
19801 +
19802 +    KEEP (*(EXCLUDE_FILE (*crtend*.o $OTHER_EXCLUDE_FILES) .ctors))
19803 +    KEEP (*(SORT(.ctors.*)))
19804 +    KEEP (*(.ctors))
19805 +    ${CONSTRUCTING+${CTOR_END}}
19806 +  }"
19807 +DTOR=".dtors        ${CONSTRUCTING-0} :
19808 +  {
19809 +    ${CONSTRUCTING+${DTOR_START}}
19810 +    KEEP (*crtbegin*.o(.dtors))
19811 +    KEEP (*(EXCLUDE_FILE (*crtend*.o $OTHER_EXCLUDE_FILES) .dtors))
19812 +    KEEP (*(SORT(.dtors.*)))
19813 +    KEEP (*(.dtors))
19814 +    ${CONSTRUCTING+${DTOR_END}}
19815 +  }"
19816 +STACK=".stack        ${RELOCATING-0}${RELOCATING+${STACK_ADDR}} :
19817 +  {
19818 +    ${RELOCATING+_stack = .;}
19819 +    *(.stack)
19820 +    ${RELOCATING+${STACK_SIZE+. = ${STACK_SIZE};}}
19821 +    ${RELOCATING+_estack = .;}
19822 +  }${RW_BSS_REGION}"
19823 +
19824 +# if this is for an embedded system, don't add SIZEOF_HEADERS.
19825 +if [ -z "$EMBEDDED" ]; then
19826 +   test -z "${TEXT_BASE_ADDRESS}" && TEXT_BASE_ADDRESS="${TEXT_START_ADDR} + SIZEOF_HEADERS"
19827 +else
19828 +   test -z "${TEXT_BASE_ADDRESS}" && TEXT_BASE_ADDRESS="${TEXT_START_ADDR}"
19829 +fi
19830 +
19831 +cat <<EOF
19832 +OUTPUT_FORMAT("${OUTPUT_FORMAT}", "${BIG_OUTPUT_FORMAT}",
19833 +             "${LITTLE_OUTPUT_FORMAT}")
19834 +OUTPUT_ARCH(${OUTPUT_ARCH})
19835 +ENTRY(${ENTRY})
19836 +
19837 +${RELOCATING+${LIB_SEARCH_DIRS}}
19838 +${RELOCATING+/* Do we need any of these for elf?
19839 +   __DYNAMIC = 0; ${STACKZERO+${STACKZERO}} ${SHLIB_PATH+${SHLIB_PATH}}  */}
19840 +${RELOCATING+${EXECUTABLE_SYMBOLS}}
19841 +${RELOCATING+${INPUT_FILES}}
19842 +${RELOCATING- /* For some reason, the Solaris linker makes bad executables
19843 +  if gld -r is used and the intermediate file has sections starting
19844 +  at non-zero addresses.  Could be a Solaris ld bug, could be a GNU ld
19845 +  bug.  But for now assigning the zero vmas works.  */}
19846 +
19847 +${RELOCATING+${MEMORY}}
19848 +
19849 +SECTIONS
19850 +{
19851 +  /* Read-only sections, merged into text segment: */
19852 +  ${CREATE_SHLIB-${CREATE_PIE-${RELOCATING+PROVIDE (__executable_start = ${TEXT_START_ADDR}); . = ${TEXT_BASE_ADDRESS};}}}
19853 +  ${PADDING}
19854 +  ${CREATE_SHLIB+${RELOCATING+. = ${SHLIB_TEXT_START_ADDR:-0} + SIZEOF_HEADERS;}}
19855 +  ${CREATE_PIE+${RELOCATING+. = ${SHLIB_TEXT_START_ADDR:-0} + SIZEOF_HEADERS;}}
19856 +  ${CREATE_SHLIB-${INTERP}}
19857 +  ${INITIAL_READONLY_SECTIONS}
19858 +  ${TEXT_DYNAMIC+${DYNAMIC}${RO_REGION}}
19859 +  .hash         ${RELOCATING-0} : { *(.hash) }${RO_REGION}
19860 +  .dynsym       ${RELOCATING-0} : { *(.dynsym) }${RO_REGION}
19861 +  .dynstr       ${RELOCATING-0} : { *(.dynstr) }${RO_REGION}
19862 +  .gnu.version  ${RELOCATING-0} : { *(.gnu.version) }${RO_REGION}
19863 +  .gnu.version_d ${RELOCATING-0}: { *(.gnu.version_d) }${RO_REGION}
19864 +  .gnu.version_r ${RELOCATING-0}: { *(.gnu.version_r) }${RO_REGION}
19865 +
19866 +EOF
19867 +if [ "x$COMBRELOC" = x ]; then
19868 +  COMBRELOCCAT=cat
19869 +else
19870 +  COMBRELOCCAT="cat > $COMBRELOC"
19871 +fi
19872 +eval $COMBRELOCCAT <<EOF
19873 +  .rel.init     ${RELOCATING-0} : { *(.rel.init) }${RO_REGION}
19874 +  .rela.init    ${RELOCATING-0} : { *(.rela.init) }${RO_REGION}
19875 +  .rel.text     ${RELOCATING-0} : { *(.rel.text${RELOCATING+ .rel.text.* .rel.gnu.linkonce.t.*}) }${RO_REGION}
19876 +  .rela.text    ${RELOCATING-0} : { *(.rela.text${RELOCATING+ .rela.text.* .rela.gnu.linkonce.t.*}) }${RO_REGION}
19877 +  .rel.fini     ${RELOCATING-0} : { *(.rel.fini) }${RO_REGION}
19878 +  .rela.fini    ${RELOCATING-0} : { *(.rela.fini) }${RO_REGION}
19879 +  .rel.rodata   ${RELOCATING-0} : { *(.rel.rodata${RELOCATING+ .rel.rodata.* .rel.gnu.linkonce.r.*}) }${RO_REGION}
19880 +  .rela.rodata  ${RELOCATING-0} : { *(.rela.rodata${RELOCATING+ .rela.rodata.* .rela.gnu.linkonce.r.*}) }${RO_REGION}
19881 +  ${OTHER_READONLY_RELOC_SECTIONS}
19882 +  .rel.data.rel.ro ${RELOCATING-0} : { *(.rel.data.rel.ro${RELOCATING+*}) }${RO_REGION}
19883 +  .rela.data.rel.ro ${RELOCATING-0} : { *(.rel.data.rel.ro${RELOCATING+*}) }${RO_REGION}
19884 +  .rel.data     ${RELOCATING-0} : { *(.rel.data${RELOCATING+ .rel.data.* .rel.gnu.linkonce.d.*}) }${RO_REGION}
19885 +  .rela.data    ${RELOCATING-0} : { *(.rela.data${RELOCATING+ .rela.data.* .rela.gnu.linkonce.d.*}) }${RO_REGION}
19886 +  .rel.tdata   ${RELOCATING-0} : { *(.rel.tdata${RELOCATING+ .rel.tdata.* .rel.gnu.linkonce.td.*}) }${RO_REGION}
19887 +  .rela.tdata  ${RELOCATING-0} : { *(.rela.tdata${RELOCATING+ .rela.tdata.* .rela.gnu.linkonce.td.*}) }${RO_REGION}
19888 +  .rel.tbss    ${RELOCATING-0} : { *(.rel.tbss${RELOCATING+ .rel.tbss.* .rel.gnu.linkonce.tb.*}) }${RO_REGION}
19889 +  .rela.tbss   ${RELOCATING-0} : { *(.rela.tbss${RELOCATING+ .rela.tbss.* .rela.gnu.linkonce.tb.*}) }${RO_REGION}
19890 +  .rel.ctors    ${RELOCATING-0} : { *(.rel.ctors) }${RO_REGION}
19891 +  .rela.ctors   ${RELOCATING-0} : { *(.rela.ctors) }${RO_REGION}
19892 +  .rel.dtors    ${RELOCATING-0} : { *(.rel.dtors) }${RO_REGION}
19893 +  .rela.dtors   ${RELOCATING-0} : { *(.rela.dtors) }${RO_REGION}
19894 +  .rel.got      ${RELOCATING-0} : { *(.rel.got) }${RO_REGION}
19895 +  .rela.got     ${RELOCATING-0} : { *(.rela.got) }${RO_REGION}
19896 +  ${OTHER_GOT_RELOC_SECTIONS}
19897 +  ${REL_SDATA}
19898 +  ${REL_SBSS}
19899 +  ${REL_SDATA2}
19900 +  ${REL_SBSS2}
19901 +  .rel.bss      ${RELOCATING-0} : { *(.rel.bss${RELOCATING+ .rel.bss.* .rel.gnu.linkonce.b.*}) }${RO_REGION}
19902 +  .rela.bss     ${RELOCATING-0} : { *(.rela.bss${RELOCATING+ .rela.bss.* .rela.gnu.linkonce.b.*}) }${RO_REGION}
19903 +EOF
19904 +if [ -n "$COMBRELOC" ]; then
19905 +cat <<EOF
19906 +  .rel.dyn      ${RELOCATING-0} :
19907 +    {
19908 +EOF
19909 +sed -e '/^[    ]*[{}][         ]*$/d;/:[       ]*$/d;/\.rela\./d;s/^.*: { *\(.*\)}$/      \1/' $COMBRELOC
19910 +cat <<EOF
19911 +    }${RO_REGION}
19912 +  .rela.dyn     ${RELOCATING-0} :
19913 +    {
19914 +EOF
19915 +sed -e '/^[    ]*[{}][         ]*$/d;/:[       ]*$/d;/\.rel\./d;s/^.*: { *\(.*\)}/      \1/' $COMBRELOC
19916 +cat <<EOF
19917 +    }${RO_REGION}
19918 +EOF
19919 +fi
19920 +cat <<EOF
19921 +  .rel.plt      ${RELOCATING-0} : { *(.rel.plt) }${RO_REGION}
19922 +  .rela.plt     ${RELOCATING-0} : { *(.rela.plt) }${RO_REGION}
19923 +  ${OTHER_PLT_RELOC_SECTIONS}
19924 +
19925 +  .init         ${RELOCATING-0} :
19926 +  {
19927 +    ${RELOCATING+${INIT_START}}
19928 +    KEEP (*(.init))
19929 +    ${RELOCATING+${INIT_END}}
19930 +  }${RO_REGION} =${NOP-0}
19931 +
19932 +  ${DATA_PLT-${BSS_PLT-${PLT}${RO_REGION}}}
19933 +  .text         ${RELOCATING-0} :
19934 +  {
19935 +    ${RELOCATING+${TEXT_START_SYMBOLS}}
19936 +    *(.text .stub${RELOCATING+ .text.* .gnu.linkonce.t.*})
19937 +    KEEP (*(.text.*personality*))
19938 +    /* .gnu.warning sections are handled specially by elf32.em.  */
19939 +    *(.gnu.warning)
19940 +    ${RELOCATING+${OTHER_TEXT_SECTIONS}}
19941 +  }${RO_REGION} =${NOP-0}
19942 +  .fini         ${RELOCATING-0} :
19943 +  {
19944 +    ${RELOCATING+${FINI_START}}
19945 +    KEEP (*(.fini))
19946 +    ${RELOCATING+${FINI_END}}
19947 +  }${RO_REGION} =${NOP-0}
19948 +  ${RELOCATING+PROVIDE (__etext = .);}
19949 +  ${RELOCATING+PROVIDE (_etext = .);}
19950 +  ${RELOCATING+PROVIDE (etext = .);}
19951 +  ${WRITABLE_RODATA-${RODATA}${RO_REGION}}
19952 +  .rodata1      ${RELOCATING-0} : { *(.rodata1) }${RO_REGION}
19953 +  ${CREATE_SHLIB-${SDATA2}}
19954 +  ${CREATE_SHLIB-${SBSS2}}
19955 +  ${OTHER_READONLY_SECTIONS}
19956 +  .eh_frame_hdr : { *(.eh_frame_hdr) }${RO_REGION}
19957 +  .eh_frame     ${RELOCATING-0} : ONLY_IF_RO { KEEP (*(.eh_frame)) }${RO_REGION}
19958 +  .gcc_except_table ${RELOCATING-0} : ONLY_IF_RO { KEEP (*(.gcc_except_table)) *(.gcc_except_table.*) }${RO_REGION}
19959 +
19960 +  ${RELOCATING+${DALIGN}}
19961 +  ${RELOCATING+PROVIDE (_data = ORIGIN(${RW_VMA_REGION}));}
19962 +  . = ORIGIN(${RW_VMA_REGION});
19963 +  /* Exception handling  */
19964 +  .eh_frame     ${RELOCATING-0} : ONLY_IF_RW { KEEP (*(.eh_frame)) }${RW_REGION}
19965 +  .gcc_except_table ${RELOCATING-0} : ONLY_IF_RW { KEEP (*(.gcc_except_table)) *(.gcc_except_table.*) }${RW_REGION}
19966 +
19967 +  /* Thread Local Storage sections  */
19968 +  .tdata       ${RELOCATING-0} : { *(.tdata${RELOCATING+ .tdata.* .gnu.linkonce.td.*}) }${RW_REGION}
19969 +  .tbss                ${RELOCATING-0} : { *(.tbss${RELOCATING+ .tbss.* .gnu.linkonce.tb.*})${RELOCATING+ *(.tcommon)} }${RW_BSS_REGION}
19970 +
19971 +  /* Ensure the __preinit_array_start label is properly aligned.  We
19972 +     could instead move the label definition inside the section, but
19973 +     the linker would then create the section even if it turns out to
19974 +     be empty, which isn't pretty.  */
19975 +  ${RELOCATING+${CREATE_SHLIB-PROVIDE (__preinit_array_start = ALIGN(${ALIGNMENT}));}}
19976 +  .preinit_array   ${RELOCATING-0} : { KEEP (*(.preinit_array)) }${RW_REGION}
19977 +  ${RELOCATING+${CREATE_SHLIB-PROVIDE (__preinit_array_end = .);}}
19978 +
19979 +  ${RELOCATING+${CREATE_SHLIB-PROVIDE (__init_array_start = .);}}
19980 +  .init_array   ${RELOCATING-0} : { KEEP (*(.init_array)) }${RW_REGION}
19981 +  ${RELOCATING+${CREATE_SHLIB-PROVIDE (__init_array_end = .);}}
19982 +
19983 +  ${RELOCATING+${CREATE_SHLIB-PROVIDE (__fini_array_start = .);}}
19984 +  .fini_array   ${RELOCATING-0} : { KEEP (*(.fini_array)) }${RW_REGION}
19985 +  ${RELOCATING+${CREATE_SHLIB-PROVIDE (__fini_array_end = .);}}
19986 +
19987 +  ${SMALL_DATA_CTOR-${RELOCATING+${CTOR}${RW_REGION}}}
19988 +  ${SMALL_DATA_DTOR-${RELOCATING+${DTOR}${RW_REGION}}}
19989 +  .jcr          ${RELOCATING-0} : { KEEP (*(.jcr)) }${RW_REGION}
19990 +
19991 +  ${RELOCATING+${DATARELRO}}
19992 +  ${OTHER_RELRO_SECTIONS}
19993 +  ${TEXT_DYNAMIC-${DYNAMIC}${RW_REGION}}
19994 +  ${NO_SMALL_DATA+${RELRO_NOW+${GOT}${RW_REGION}}}
19995 +  ${NO_SMALL_DATA+${RELRO_NOW-${SEPARATE_GOTPLT+${GOT}${RW_REGION}}}}
19996 +  ${NO_SMALL_DATA+${RELRO_NOW-${SEPARATE_GOTPLT+${GOTPLT}${RW_REGION}}}}
19997 +  ${RELOCATING+${DATA_SEGMENT_RELRO_END}}
19998 +  ${NO_SMALL_DATA+${RELRO_NOW-${SEPARATE_GOTPLT-${GOT}${RW_REGION}}}}
19999 +
20000 +  ${DATA_PLT+${PLT_BEFORE_GOT-${PLT}${RW_REGION}}}
20001 +
20002 +  .data         ${RELOCATING-0} :
20003 +  {
20004 +    ${RELOCATING+${DATA_START_SYMBOLS}}
20005 +    *(.data${RELOCATING+ .data.* .gnu.linkonce.d.*})
20006 +    KEEP (*(.gnu.linkonce.d.*personality*))
20007 +    ${CONSTRUCTING+SORT(CONSTRUCTORS)}
20008 +  }${RW_REGION}
20009 +  .data1        ${RELOCATING-0} : { *(.data1) }${RW_REGION}
20010 +  ${WRITABLE_RODATA+${RODATA}${RW_REGION}}
20011 +  ${OTHER_READWRITE_SECTIONS}
20012 +  ${SMALL_DATA_CTOR+${RELOCATING+${CTOR}${RW_REGION}}}
20013 +  ${SMALL_DATA_DTOR+${RELOCATING+${DTOR}${RW_REGION}}}
20014 +  ${DATA_PLT+${PLT_BEFORE_GOT+${PLT}${RW_REGION}}}
20015 +  ${RELOCATING+${OTHER_GOT_SYMBOLS}}
20016 +  ${NO_SMALL_DATA-${GOT}${RW_REGION}}
20017 +  ${OTHER_GOT_SECTIONS}
20018 +  ${SDATA}
20019 +  ${OTHER_SDATA_SECTIONS}
20020 +  ${RELOCATING+${BALIGN}}
20021 +  ${RELOCATING+_edata = .;}
20022 +  ${RELOCATING+PROVIDE (edata = .);}
20023 +  ${RELOCATING+__bss_start = .;}
20024 +  ${RELOCATING+${OTHER_BSS_SYMBOLS}}
20025 +  ${SBSS}
20026 +  ${BSS_PLT+${PLT}${RW_REGION}}
20027 +  .bss          ${RELOCATING-0} :
20028 +  {
20029 +   *(.dynbss)
20030 +   *(.bss${RELOCATING+ .bss.* .gnu.linkonce.b.*})
20031 +   *(COMMON)
20032 +   /* Align here to ensure that the .bss section occupies space up to
20033 +      _end.  Align after .bss to ensure correct alignment even if the
20034 +      .bss section disappears because there are no input sections.  */
20035 +   ${RELOCATING+. = ALIGN(${BSS_ALIGNMENT});}
20036 +  }${RW_BSS_REGION}
20037 +  ${OTHER_BSS_SECTIONS}
20038 +  ${RELOCATING+. = ALIGN(${BSS_ALIGNMENT});}
20039 +  ${RELOCATING+_end = .;}
20040 +  ${RELOCATING+${OTHER_BSS_END_SYMBOLS}}
20041 +  ${RELOCATING+PROVIDE (end = .);}
20042 +  ${RELOCATING+${DATA_SEGMENT_END}}
20043 +
20044 +  /* Stabs debugging sections.  */
20045 +  .stab          0 : { *(.stab) }
20046 +  .stabstr       0 : { *(.stabstr) }
20047 +  .stab.excl     0 : { *(.stab.excl) }
20048 +  .stab.exclstr  0 : { *(.stab.exclstr) }
20049 +  .stab.index    0 : { *(.stab.index) }
20050 +  .stab.indexstr 0 : { *(.stab.indexstr) }
20051 +
20052 +  .comment       0 : { *(.comment) }
20053 +
20054 +  /* DWARF debug sections.
20055 +     Symbols in the DWARF debugging sections are relative to the beginning
20056 +     of the section so we begin them at 0.  */
20057 +
20058 +  /* DWARF 1 */
20059 +  .debug          0 : { *(.debug) }
20060 +  .line           0 : { *(.line) }
20061 +
20062 +  /* GNU DWARF 1 extensions */
20063 +  .debug_srcinfo  0 : { *(.debug_srcinfo) }
20064 +  .debug_sfnames  0 : { *(.debug_sfnames) }
20065 +
20066 +  /* DWARF 1.1 and DWARF 2 */
20067 +  .debug_aranges  0 : { *(.debug_aranges) }
20068 +  .debug_pubnames 0 : { *(.debug_pubnames) }
20069 +
20070 +  /* DWARF 2 */
20071 +  .debug_info     0 : { *(.debug_info .gnu.linkonce.wi.*) }
20072 +  .debug_abbrev   0 : { *(.debug_abbrev) }
20073 +  .debug_line     0 : { *(.debug_line) }
20074 +  .debug_frame    0 : { *(.debug_frame) }
20075 +  .debug_str      0 : { *(.debug_str) }
20076 +  .debug_loc      0 : { *(.debug_loc) }
20077 +  .debug_macinfo  0 : { *(.debug_macinfo) }
20078 +
20079 +  /* SGI/MIPS DWARF 2 extensions */
20080 +  .debug_weaknames 0 : { *(.debug_weaknames) }
20081 +  .debug_funcnames 0 : { *(.debug_funcnames) }
20082 +  .debug_typenames 0 : { *(.debug_typenames) }
20083 +  .debug_varnames  0 : { *(.debug_varnames) }
20084 +
20085 +  ${STACK_ADDR+${STACK}}
20086 +  ${OTHER_SECTIONS}
20087 +  ${RELOCATING+${OTHER_END_SYMBOLS}}
20088 +  ${RELOCATING+${STACKNOTE}}
20089 +}
20090 +EOF
20091 --- /dev/null
20092 +++ b/ld/testsuite/ld-avr32/avr32.exp
20093 @@ -0,0 +1,25 @@
20094 +# Expect script for AVR32 ELF linker tests.
20095 +#   Copyright 2004-2006 Atmel Corporation.
20096 +#
20097 +# This file is free software; you can redistribute it and/or modify
20098 +# it under the terms of the GNU General Public License as published by
20099 +# the Free Software Foundation; either version 2 of the License, or
20100 +# (at your option) any later version.
20101 +#
20102 +# This program is distributed in the hope that it will be useful,
20103 +# but WITHOUT ANY WARRANTY; without even the implied warranty of
20104 +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
20105 +# GNU General Public License for more details.
20106 +#
20107 +# You should have received a copy of the GNU General Public License
20108 +# along with this program; if not, write to the Free Software
20109 +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
20110 +#
20111 +# Written by Haavard Skinnemoen (hskinnemoen@atmel.com)
20112 +#
20113 +
20114 +if ![istarget avr32-*-*] {
20115 +    return
20116 +}
20117 +
20118 +run_dump_test "pcrel"
20119 --- /dev/null
20120 +++ b/ld/testsuite/ld-avr32/pcrel.d
20121 @@ -0,0 +1,74 @@
20122 +#name: AVR32 ELF PC-relative external relocs
20123 +#source: symbols.s
20124 +#source: ../../../gas/testsuite/gas/avr32/pcrel.s
20125 +#ld: -T $srcdir/$subdir/pcrel.ld
20126 +#objdump: -d
20127 +
20128 +.*:     file format elf.*avr32.*
20129 +
20130 +Disassembly of section .text:
20131 +
20132 +a0000000 <_start>:
20133 +a0000000:      d7 03           nop
20134 +a0000002:      d7 03           nop
20135 +
20136 +a0000004 <test_rjmp>:
20137 +a0000004:      d7 03           nop
20138 +a0000006:      c0 28           rjmp a000000a <test_rjmp\+0x6>
20139 +a0000008:      d7 03           nop
20140 +a000000a:      e0 8f 01 fb     bral a0000400 <extsym10>
20141 +
20142 +a000000e <test_rcall>:
20143 +a000000e:      d7 03           nop
20144 +a0000010 <test_rcall2>:
20145 +a0000010:      c0 2c           rcall a0000014 <test_rcall2\+0x4>
20146 +a0000012:      d7 03           nop
20147 +a0000014:      ee b0 ff f6     rcall a0200000 <extsym21>
20148 +
20149 +a0000018 <test_branch>:
20150 +a0000018:      c0 31           brne a000001e <test_branch\+0x6>
20151 +a000001a:      fe 9f ff ff     bral a0000018 <test_branch>
20152 +a000001e:      ee 90 ff f1     breq a0200000 <extsym21>
20153 +
20154 +a0000022 <test_lddpc>:
20155 +a0000022:      48 30           lddpc r0,a000002c <sym1>
20156 +a0000024:      48 20           lddpc r0,a000002c <sym1>
20157 +a0000026:      fe f0 7f da     ld.w r0,pc\[32730\]
20158 +       ...
20159 +
20160 +a000002c <sym1>:
20161 +a000002c:      d7 03           nop
20162 +a000002e:      d7 03           nop
20163 +
20164 +a0000030 <test_local>:
20165 +a0000030:      48 20           lddpc r0,a0000038 <test_local\+0x8>
20166 +a0000032:      48 30           lddpc r0,a000003c <test_local\+0xc>
20167 +a0000034:      48 20           lddpc r0,a000003c <test_local\+0xc>
20168 +a0000036:      00 00           add r0,r0
20169 +a0000038:      d7 03           nop
20170 +a000003a:      d7 03           nop
20171 +a000003c:      d7 03           nop
20172 +a000003e:      d7 03           nop
20173 +
20174 +Disassembly of section \.text\.init:
20175 +a0000040 <test_inter_section>:
20176 +a0000040:      fe b0 ff e7     rcall a000000e <test_rcall>
20177 +a0000044:      d7 03           nop
20178 +a0000046:      fe b0 ff e4     rcall a000000e <test_rcall>
20179 +a000004a:      fe b0 ff e3     rcall a0000010 <test_rcall2>
20180 +a000004e:      d7 03           nop
20181 +a0000050:      fe b0 ff e0     rcall a0000010 <test_rcall2>
20182 +
20183 +Disassembly of section \.text\.pcrel10:
20184 +
20185 +a0000400 <extsym10>:
20186 +a0000400:      d7 03           nop
20187 +
20188 +Disassembly of section \.text\.pcrel16:
20189 +
20190 +a0008000 <extsym16>:
20191 +a0008000:      d7 03           nop
20192 +
20193 +Disassembly of section \.text\.pcrel21:
20194 +a0200000 <extsym21>:
20195 +a0200000:      d7 03           nop
20196 --- /dev/null
20197 +++ b/ld/testsuite/ld-avr32/pcrel.ld
20198 @@ -0,0 +1,23 @@
20199 +ENTRY(_start)
20200 +SECTIONS
20201 +{
20202 +       .text 0xa0000000:
20203 +       {
20204 +               *(.text)
20205 +       }
20206 +
20207 +       .text.pcrel10 0xa0000400:
20208 +       {
20209 +               *(.text.pcrel10)
20210 +       }
20211 +
20212 +       .text.pcrel16 0xa0008000:
20213 +       {
20214 +               *(.text.pcrel16)
20215 +       }
20216 +
20217 +       .text.pcrel21 0xa0200000:
20218 +       {
20219 +               *(.text.pcrel21)
20220 +       }
20221 +}
20222 --- /dev/null
20223 +++ b/ld/testsuite/ld-avr32/symbols.s
20224 @@ -0,0 +1,20 @@
20225 +       .text
20226 +       .global _start
20227 +_start:
20228 +       nop
20229 +       nop
20230 +
20231 +       .section .text.pcrel10,"ax"
20232 +       .global extsym10
20233 +extsym10:
20234 +       nop
20235 +
20236 +       .section .text.pcrel16,"ax"
20237 +       .global extsym16
20238 +extsym16:
20239 +       nop
20240 +
20241 +       .section .text.pcrel21,"ax"
20242 +       .global extsym21
20243 +extsym21:
20244 +       nop
20245 --- /dev/null
20246 +++ b/opcodes/avr32-asm.c
20247 @@ -0,0 +1,244 @@
20248 +/* Assembler interface for AVR32.
20249 +   Copyright 2005,2006,2007,2008,2009 Atmel Corporation.
20250 +
20251 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
20252 +
20253 +   This file is part of libopcodes.
20254 +
20255 +   This program is free software; you can redistribute it and/or
20256 +   modify it under the terms of the GNU General Public License as
20257 +   published by the Free Software Foundation; either version 2 of the
20258 +   License, or (at your option) any later version.
20259 +
20260 +   This program is distributed in the hope that it will be useful, but
20261 +   WITHOUT ANY WARRANTY; without even the implied warranty of
20262 +   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
20263 +   General Public License for more details.
20264 +
20265 +   You should have received a copy of the GNU General Public License
20266 +   along with this program; if not, write to the Free Software
20267 +   Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA
20268 +   02111-1307, USA.  */
20269 +
20270 +#include <string.h>
20271 +
20272 +#include "avr32-opc.h"
20273 +#include "avr32-asm.h"
20274 +
20275 +/* Structure for a register hash table entry.  */
20276 +struct reg_entry
20277 +{
20278 +  const char   *name;
20279 +  int          number;
20280 +};
20281 +
20282 +/* Integer Registers.  */
20283 +static const struct reg_entry reg_table[] =
20284 +  {
20285 +    /* Primary names (used by the disassembler) */
20286 +    { "r0",   0 }, { "r1",   1 }, { "r2",   2 }, { "r3",   3 },
20287 +    { "r4",   4 }, { "r5",   5 }, { "r6",   6 }, { "r7",   7 },
20288 +    { "r8",   8 }, { "r9",   9 }, { "r10", 10 }, { "r11", 11 },
20289 +    { "r12", 12 }, { "sp",  13 }, { "lr",  14 }, { "pc",  15 },
20290 +    /* Alternatives to sp, lr and pc.  */
20291 +    { "r13", 13 }, { "r14", 14 }, { "r15", 15 },
20292 +  };
20293 +#define AVR32_NR_INTREGS (sizeof(reg_table)/sizeof(reg_table[0]))
20294 +
20295 +/* Coprocessor Registers.  */
20296 +static const struct reg_entry cr_table[] =
20297 +  {
20298 +    { "cr0",   0 }, { "cr1",   1 }, { "cr2",   2 }, { "cr3",   3 },
20299 +    { "cr4",   4 }, { "cr5",   5 }, { "cr6",   6 }, { "cr7",   7 },
20300 +    { "cr8",   8 }, { "cr9",   9 }, { "cr10", 10 }, { "cr11", 11 },
20301 +    { "cr12", 12 }, { "cr13", 13 }, { "cr14", 14 }, { "cr15", 15 },
20302 +  };
20303 +#define AVR32_NR_CPREGS (sizeof(cr_table)/sizeof(cr_table[0]))
20304 +
20305 +#define AVR32_NR_FPREGS (sizeof(fr_table)/sizeof(fr_table[0]))
20306 +
20307 +/* PiCo Registers.  */
20308 +static const struct reg_entry pico_table[] =
20309 +  {
20310 +    { "inpix2",    0 }, { "inpix1",    1 }, { "inpix0",    2 },
20311 +    { "outpix2",   3 }, { "outpix1",   4 }, { "outpix0",   5 },
20312 +    { "coeff0_a",  6 }, { "coeff0_b",  7 }, { "coeff1_a",  8 },
20313 +    { "coeff1_b",  9 }, { "coeff2_a", 10 }, { "coeff2_b", 11 },
20314 +    { "vmu0_out", 12 }, { "vmu1_out", 13 }, { "vmu2_out", 14 },
20315 +    { "config",   15 },
20316 +  };
20317 +#define AVR32_NR_PICOREGS (sizeof(pico_table)/sizeof(pico_table[0]))
20318 +
20319 +int
20320 +avr32_parse_intreg(const char *str)
20321 +{
20322 +  unsigned int i;
20323 +
20324 +  for (i = 0; i < AVR32_NR_INTREGS; i++)
20325 +    {
20326 +      if (strcasecmp(reg_table[i].name, str) == 0)
20327 +       return reg_table[i].number;
20328 +    }
20329 +
20330 +  return -1;
20331 +}
20332 +
20333 +int
20334 +avr32_parse_cpreg(const char *str)
20335 +{
20336 +  unsigned int i;
20337 +
20338 +  for (i = 0; i < AVR32_NR_CPREGS; i++)
20339 +    {
20340 +      if (strcasecmp(cr_table[i].name, str) == 0)
20341 +       return cr_table[i].number;
20342 +    }
20343 +
20344 +  return -1;
20345 +}
20346 +
20347 +
20348 +int avr32_parse_picoreg(const char *str)
20349 +{
20350 +  unsigned int i;
20351 +
20352 +  for (i = 0; i < AVR32_NR_PICOREGS; i++)
20353 +    {
20354 +      if (strcasecmp(pico_table[i].name, str) == 0)
20355 +       return pico_table[i].number;
20356 +    }
20357 +
20358 +  return -1;
20359 +}
20360 +
20361 +static unsigned long
20362 +parse_reglist(char *str, char **endptr, int (*parse_reg)(const char *))
20363 +{
20364 +  int reg_from, reg_to;
20365 +  unsigned long result = 0;
20366 +  char *p1, *p2, c;
20367 +
20368 +  while (*str)
20369 +    {
20370 +      for (p1 = str; *p1; p1++)
20371 +       if (*p1 == ',' || *p1 == '-')
20372 +         break;
20373 +
20374 +      c = *p1, *p1 = 0;
20375 +      reg_from = parse_reg(str);
20376 +      *p1 = c;
20377 +
20378 +      if (reg_from < 0)
20379 +       break;
20380 +
20381 +      if (*p1 == '-')
20382 +       {
20383 +         for (p2 = ++p1; *p2; p2++)
20384 +           if (*p2 == ',')
20385 +             break;
20386 +
20387 +         c = *p2, *p2 = 0;
20388 +         /* printf("going to parse reg_to from `%s'\n", p1); */
20389 +         reg_to = parse_reg(p1);
20390 +         *p2 = c;
20391 +
20392 +         if (reg_to < 0)
20393 +           break;
20394 +
20395 +         while (reg_from <= reg_to)
20396 +           result |= (1 << reg_from++);
20397 +         p1 = p2;
20398 +       }
20399 +      else
20400 +       result |= (1 << reg_from);
20401 +
20402 +      str = p1;
20403 +      if (*str) ++str;
20404 +    }
20405 +
20406 +  if (endptr)
20407 +    *endptr = str;
20408 +
20409 +  return result;
20410 +}
20411 +
20412 +unsigned long
20413 +avr32_parse_reglist(char *str, char **endptr)
20414 +{
20415 +  return parse_reglist(str, endptr, avr32_parse_intreg);
20416 +}
20417 +
20418 +unsigned long
20419 +avr32_parse_cpreglist(char *str, char **endptr)
20420 +{
20421 +  return parse_reglist(str, endptr, avr32_parse_cpreg);
20422 +}
20423 +
20424 +unsigned long
20425 +avr32_parse_pico_reglist(char *str, char **endptr)
20426 +{
20427 +  return parse_reglist(str, endptr, avr32_parse_picoreg);
20428 +}
20429 +
20430 +int
20431 +avr32_make_regmask8(unsigned long regmask16, unsigned long *regmask8)
20432 +{
20433 +  unsigned long result = 0;
20434 +
20435 +  /* printf("convert regmask16 0x%04lx\n", regmask16); */
20436 +
20437 +  if (regmask16 & 0xf)
20438 +    {
20439 +      if ((regmask16 & 0xf) == 0xf)
20440 +       result |= 1 << 0;
20441 +      else
20442 +       return -1;
20443 +    }
20444 +  if (regmask16 & 0xf0)
20445 +    {
20446 +      if ((regmask16 & 0xf0) == 0xf0)
20447 +       result |= 1 << 1;
20448 +      else
20449 +       return -1;
20450 +    }
20451 +  if (regmask16 & 0x300)
20452 +    {
20453 +      if ((regmask16 & 0x300) == 0x300)
20454 +       result |= 1 << 2;
20455 +      else
20456 +       return -1;
20457 +    }
20458 +  if (regmask16 & (1 << 13))
20459 +    return -1;
20460 +
20461 +  if (regmask16 & (1 << 10))
20462 +    result |= 1 << 3;
20463 +  if (regmask16 & (1 << 11))
20464 +    result |= 1 << 4;
20465 +  if (regmask16 & (1 << 12))
20466 +    result |= 1 << 5;
20467 +  if (regmask16 & (1 << 14))
20468 +    result |= 1 << 6;
20469 +  if (regmask16 & (1 << 15))
20470 +    result |= 1 << 7;
20471 +
20472 +  *regmask8 = result;
20473 +
20474 +  return 0;
20475 +}
20476 +
20477 +#if 0
20478 +struct reg_map
20479 +{
20480 +  const struct reg_entry       *names;
20481 +  int                          nr_regs;
20482 +  struct hash_control          *htab;
20483 +  const char                   *errmsg;
20484 +};
20485 +
20486 +struct reg_map all_reg_maps[] =
20487 +  {
20488 +    { reg_table, AVR32_NR_INTREGS, NULL, N_("integral register expected") },
20489 +    { cr_table,  AVR32_NR_CPREGS,  NULL, N_("coprocessor register expected") },
20490 +  };
20491 +#endif
20492 --- /dev/null
20493 +++ b/opcodes/avr32-asm.h
20494 @@ -0,0 +1,40 @@
20495 +/* Assembler interface for AVR32.
20496 +   Copyright 2005,2006,2007,2008,2009 Atmel Corporation.
20497 +
20498 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
20499 +
20500 +   This file is part of libopcodes.
20501 +
20502 +   This program is free software; you can redistribute it and/or
20503 +   modify it under the terms of the GNU General Public License as
20504 +   published by the Free Software Foundation; either version 2 of the
20505 +   License, or (at your option) any later version.
20506 +
20507 +   This program is distributed in the hope that it will be useful, but
20508 +   WITHOUT ANY WARRANTY; without even the implied warranty of
20509 +   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
20510 +   General Public License for more details.
20511 +
20512 +   You should have received a copy of the GNU General Public License
20513 +   along with this program; if not, write to the Free Software
20514 +   Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA
20515 +   02111-1307, USA.  */
20516 +#ifndef __OPCODES_AVR32_ASM_H
20517 +#define __OPCODES_AVR32_ASM_H
20518 +
20519 +extern int
20520 +avr32_parse_intreg(const char *str);
20521 +extern int
20522 +avr32_parse_cpreg(const char *str);
20523 +extern int
20524 +avr32_parse_picoreg(const char *str);
20525 +extern unsigned long
20526 +avr32_parse_reglist(char *str, char **endptr);
20527 +extern unsigned long
20528 +avr32_parse_cpreglist(char *str, char **endptr);
20529 +extern unsigned long
20530 +avr32_parse_pico_reglist(char *str, char **endptr);
20531 +extern int
20532 +avr32_make_regmask8(unsigned long regmask16, unsigned long *regmask8);
20533 +
20534 +#endif /* __OPCODES_AVR32_ASM_H */
20535 --- /dev/null
20536 +++ b/opcodes/avr32-dis.c
20537 @@ -0,0 +1,916 @@
20538 +/* Print AVR32 instructions for GDB and objdump.
20539 +   Copyright 2005,2006,2007,2008,2009 Atmel Corporation.
20540 +
20541 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
20542 +
20543 +   This file is part of libopcodes.
20544 +
20545 +   This program is free software; you can redistribute it and/or
20546 +   modify it under the terms of the GNU General Public License as
20547 +   published by the Free Software Foundation; either version 2 of the
20548 +   License, or (at your option) any later version.
20549 +
20550 +   This program is distributed in the hope that it will be useful, but
20551 +   WITHOUT ANY WARRANTY; without even the implied warranty of
20552 +   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
20553 +   General Public License for more details.
20554 +
20555 +   You should have received a copy of the GNU General Public License
20556 +   along with this program; if not, write to the Free Software
20557 +   Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA
20558 +   02111-1307, USA.  */
20559 +
20560 +#include "sysdep.h"
20561 +#include "dis-asm.h"
20562 +#include "avr32-opc.h"
20563 +#include "opintl.h"
20564 +#include "safe-ctype.h"
20565 +
20566 +/* TODO: Share this with -asm */
20567 +
20568 +/* Structure for a register hash table entry.  */
20569 +struct reg_entry
20570 +{
20571 +  const char   *name;
20572 +  int          number;
20573 +};
20574 +
20575 +#ifndef strneq
20576 +#define strneq(a,b,n)  (strncmp ((a), (b), (n)) == 0)
20577 +#endif
20578 +
20579 +static char avr32_opt_decode_fpu = 0;
20580 +
20581 +static const struct reg_entry reg_table[] =
20582 +  {
20583 +    /* Primary names (used by the disassembler) */
20584 +    { "r0",   0 }, { "r1",   1 }, { "r2",   2 }, { "r3",   3 },
20585 +    { "r4",   4 }, { "r5",   5 }, { "r6",   6 }, { "r7",   7 },
20586 +    { "r8",   8 }, { "r9",   9 }, { "r10", 10 }, { "r11", 11 },
20587 +    { "r12", 12 }, { "sp",  13 }, { "lr",  14 }, { "pc",  15 },
20588 +    /* Alternatives to sp, lr and pc.  */
20589 +    { "r13", 13 }, { "r14", 14 }, { "r15", 15 },
20590 +  };
20591 +#define AVR32_NR_INTREGS (sizeof(reg_table)/sizeof(reg_table[0]))
20592 +
20593 +/* Coprocessor Registers.  */
20594 +static const struct reg_entry cr_table[] =
20595 +  {
20596 +    { "cr0",   0 }, { "cr1",   1 }, { "cr2",   2 }, { "cr3",   3 },
20597 +    { "cr4",   4 }, { "cr5",   5 }, { "cr6",   6 }, { "cr7",   7 },
20598 +    { "cr8",   8 }, { "cr9",   9 }, { "cr10", 10 }, { "cr11", 11 },
20599 +    { "cr12", 12 }, { "cr13", 13 }, { "cr14", 14 }, { "cr15", 15 },
20600 +  };
20601 +#define AVR32_NR_CPREGS (sizeof(cr_table)/sizeof(cr_table[0]))
20602 +
20603 +static const char bparts[4] = { 'b', 'l', 'u', 't' };
20604 +static bfd_vma current_pc;
20605 +
20606 +struct avr32_field_value
20607 +{
20608 +  const struct avr32_ifield *ifield;
20609 +  unsigned long value;
20610 +};
20611 +
20612 +struct avr32_operand
20613 +{
20614 +  int id;
20615 +  int is_pcrel;
20616 +  int align_order;
20617 +  int (*print)(struct avr32_operand *op, struct disassemble_info *info,
20618 +              struct avr32_field_value *ifields);
20619 +};
20620 +
20621 +static signed long
20622 +get_signed_value(const struct avr32_field_value *fv)
20623 +{
20624 +  signed long value = fv->value;
20625 +
20626 +  if (fv->value & (1 << (fv->ifield->bitsize - 1)))
20627 +    value |= (~0UL << fv->ifield->bitsize);
20628 +
20629 +  return value;
20630 +}
20631 +
20632 +static void
20633 +print_reglist_range(unsigned int first, unsigned int last,
20634 +                   const struct reg_entry *reg_names,
20635 +                   int need_comma,
20636 +                   struct disassemble_info *info)
20637 +{
20638 +  if (need_comma)
20639 +    info->fprintf_func(info->stream, ",");
20640 +
20641 +  if (first == last)
20642 +    info->fprintf_func(info->stream, "%s",
20643 +                      reg_names[first].name);
20644 +  else
20645 +    info->fprintf_func(info->stream, "%s-%s",
20646 +                      reg_names[first].name, reg_names[last].name);
20647 +}
20648 +
20649 +static int
20650 +print_intreg(struct avr32_operand *op,
20651 +            struct disassemble_info *info,
20652 +            struct avr32_field_value *ifields)
20653 +{
20654 +  unsigned long regid = ifields[0].value << op->align_order;
20655 +
20656 +  info->fprintf_func(info->stream, "%s",
20657 +                    reg_table[regid].name);
20658 +  return 1;
20659 +}
20660 +
20661 +static int
20662 +print_intreg_predec(struct avr32_operand *op ATTRIBUTE_UNUSED,
20663 +                   struct disassemble_info *info,
20664 +                   struct avr32_field_value *ifields)
20665 +{
20666 +  info->fprintf_func(info->stream, "--%s",
20667 +                    reg_table[ifields[0].value].name);
20668 +  return 1;
20669 +}
20670 +
20671 +static int
20672 +print_intreg_postinc(struct avr32_operand *op ATTRIBUTE_UNUSED,
20673 +                    struct disassemble_info *info,
20674 +                    struct avr32_field_value *ifields)
20675 +{
20676 +  info->fprintf_func(info->stream, "%s++",
20677 +                    reg_table[ifields[0].value].name);
20678 +  return 1;
20679 +}
20680 +
20681 +static int
20682 +print_intreg_lsl(struct avr32_operand *op ATTRIBUTE_UNUSED,
20683 +                struct disassemble_info *info,
20684 +                struct avr32_field_value *ifields)
20685 +{
20686 +  const char *rp = reg_table[ifields[0].value].name;
20687 +  unsigned long sa = ifields[1].value;
20688 +
20689 +  if (sa)
20690 +    info->fprintf_func(info->stream, "%s<<0x%lx", rp, sa);
20691 +  else
20692 +    info->fprintf_func(info->stream, "%s", rp);
20693 +
20694 +  return 2;
20695 +}
20696 +
20697 +static int
20698 +print_intreg_lsr(struct avr32_operand *op ATTRIBUTE_UNUSED,
20699 +                struct disassemble_info *info,
20700 +                struct avr32_field_value *ifields)
20701 +{
20702 +  const char *rp = reg_table[ifields[0].value].name;
20703 +  unsigned long sa = ifields[1].value;
20704 +
20705 +  if (sa)
20706 +    info->fprintf_func(info->stream, "%s>>0x%lx", rp, sa);
20707 +  else
20708 +    info->fprintf_func(info->stream, "%s", rp);
20709 +
20710 +  return 2;
20711 +}
20712 +
20713 +static int
20714 +print_intreg_bpart(struct avr32_operand *op ATTRIBUTE_UNUSED,
20715 +                  struct disassemble_info *info,
20716 +                  struct avr32_field_value *ifields)
20717 +{
20718 +  info->fprintf_func(info->stream, "%s:%c",
20719 +                    reg_table[ifields[0].value].name,
20720 +                    bparts[ifields[1].value]);
20721 +  return 2;
20722 +}
20723 +
20724 +static int
20725 +print_intreg_hpart(struct avr32_operand *op ATTRIBUTE_UNUSED,
20726 +                  struct disassemble_info *info,
20727 +                  struct avr32_field_value *ifields)
20728 +{
20729 +  info->fprintf_func(info->stream, "%s:%c",
20730 +                    reg_table[ifields[0].value].name,
20731 +                    ifields[1].value ? 't' : 'b');
20732 +  return 2;
20733 +}
20734 +
20735 +static int
20736 +print_intreg_sdisp(struct avr32_operand *op,
20737 +                 struct disassemble_info *info,
20738 +                 struct avr32_field_value *ifields)
20739 +{
20740 +  signed long disp;
20741 +
20742 +  disp = get_signed_value(&ifields[1]) << op->align_order;
20743 +
20744 +  info->fprintf_func(info->stream, "%s[%ld]",
20745 +                    reg_table[ifields[0].value].name, disp);
20746 +  return 2;
20747 +}
20748 +
20749 +static int
20750 +print_intreg_udisp(struct avr32_operand *op,
20751 +                  struct disassemble_info *info,
20752 +                  struct avr32_field_value *ifields)
20753 +{
20754 +  info->fprintf_func(info->stream, "%s[0x%lx]",
20755 +                    reg_table[ifields[0].value].name,
20756 +                    ifields[1].value << op->align_order);
20757 +  return 2;
20758 +}
20759 +
20760 +static int
20761 +print_intreg_index(struct avr32_operand *op ATTRIBUTE_UNUSED,
20762 +                  struct disassemble_info *info,
20763 +                  struct avr32_field_value *ifields)
20764 +{
20765 +  const char *rb, *ri;
20766 +  unsigned long sa = ifields[2].value;
20767 +
20768 +  rb = reg_table[ifields[0].value].name;
20769 +  ri = reg_table[ifields[1].value].name;
20770 +
20771 +  if (sa)
20772 +    info->fprintf_func(info->stream, "%s[%s<<0x%lx]", rb, ri, sa);
20773 +  else
20774 +    info->fprintf_func(info->stream, "%s[%s]", rb, ri);
20775 +
20776 +  return 3;
20777 +}
20778 +
20779 +static int
20780 +print_intreg_xindex(struct avr32_operand *op ATTRIBUTE_UNUSED,
20781 +                   struct disassemble_info *info,
20782 +                   struct avr32_field_value *ifields)
20783 +{
20784 +  info->fprintf_func(info->stream, "%s[%s:%c<<2]",
20785 +                    reg_table[ifields[0].value].name,
20786 +                    reg_table[ifields[1].value].name,
20787 +                    bparts[ifields[2].value]);
20788 +  return 3;
20789 +}
20790 +
20791 +static int
20792 +print_jmplabel(struct avr32_operand *op,
20793 +              struct disassemble_info *info,
20794 +              struct avr32_field_value *ifields)
20795 +{
20796 +  bfd_vma address, offset;
20797 +
20798 +  offset = get_signed_value(ifields) << op->align_order;
20799 +  address = (current_pc & (~0UL << op->align_order)) + offset;
20800 +
20801 +  info->print_address_func(address, info);
20802 +
20803 +  return 1;
20804 +}
20805 +
20806 +static int
20807 +print_pc_disp(struct avr32_operand *op,
20808 +             struct disassemble_info *info,
20809 +             struct avr32_field_value *ifields)
20810 +{
20811 +  bfd_vma address, offset;
20812 +
20813 +  offset = ifields[0].value << op->align_order;
20814 +  address = (current_pc & (~0UL << op->align_order)) + offset;
20815 +
20816 +  info->print_address_func(address, info);
20817 +
20818 +  return 1;
20819 +}
20820 +
20821 +static int
20822 +print_sp(struct avr32_operand *op ATTRIBUTE_UNUSED,
20823 +        struct disassemble_info *info,
20824 +        struct avr32_field_value *ifields ATTRIBUTE_UNUSED)
20825 +{
20826 +  info->fprintf_func(info->stream, "sp");
20827 +  return 1;
20828 +}
20829 +
20830 +static int
20831 +print_sp_disp(struct avr32_operand *op,
20832 +             struct disassemble_info *info,
20833 +             struct avr32_field_value *ifields)
20834 +{
20835 +  info->fprintf_func(info->stream, "sp[0x%lx]",
20836 +                    ifields[0].value << op->align_order);
20837 +  return 1;
20838 +}
20839 +
20840 +static int
20841 +print_cpno(struct avr32_operand *op ATTRIBUTE_UNUSED,
20842 +          struct disassemble_info *info,
20843 +          struct avr32_field_value *ifields)
20844 +{
20845 +  info->fprintf_func(info->stream, "cp%lu", ifields[0].value);
20846 +  return 1;
20847 +}
20848 +
20849 +static int
20850 +print_cpreg(struct avr32_operand *op,
20851 +           struct disassemble_info *info,
20852 +           struct avr32_field_value *ifields)
20853 +{
20854 +  info->fprintf_func(info->stream, "cr%lu",
20855 +                    ifields[0].value << op->align_order);
20856 +  return 1;
20857 +}
20858 +
20859 +static int
20860 +print_uconst(struct avr32_operand *op,
20861 +            struct disassemble_info *info,
20862 +            struct avr32_field_value *ifields)
20863 +{
20864 +  info->fprintf_func(info->stream, "0x%lx",
20865 +                    ifields[0].value << op->align_order);
20866 +  return 1;
20867 +}
20868 +
20869 +static int
20870 +print_sconst(struct avr32_operand *op,
20871 +            struct disassemble_info *info,
20872 +            struct avr32_field_value *ifields)
20873 +{
20874 +  info->fprintf_func(info->stream, "%ld",
20875 +                    get_signed_value(ifields) << op->align_order);
20876 +  return 1;
20877 +}
20878 +
20879 +static int
20880 +print_reglist8_head(unsigned long regmask, int *commap,
20881 +                   struct disassemble_info *info)
20882 +{
20883 +  int first = -1, last, i = 0;
20884 +  int need_comma = 0;
20885 +
20886 +  while (i < 12)
20887 +    {
20888 +      if (first == -1 && (regmask & 1))
20889 +       {
20890 +         first = i;
20891 +       }
20892 +      else if (first != -1 && !(regmask & 1))
20893 +       {
20894 +         last = i - 1;
20895 +
20896 +         print_reglist_range(first, last, reg_table, need_comma, info);
20897 +         need_comma = 1;
20898 +         first = -1;
20899 +       }
20900 +
20901 +      if (i < 8)
20902 +       i += 4;
20903 +      else if (i < 10)
20904 +       i += 2;
20905 +      else
20906 +       i++;
20907 +      regmask >>= 1;
20908 +    }
20909 +
20910 +  *commap = need_comma;
20911 +  return first;
20912 +}
20913 +
20914 +static void
20915 +print_reglist8_tail(unsigned long regmask, int first, int need_comma,
20916 +                   struct disassemble_info *info)
20917 +{
20918 +  int last = 11;
20919 +
20920 +  if (regmask & 0x20)
20921 +    {
20922 +      if (first == -1)
20923 +       first = 12;
20924 +      last = 12;
20925 +    }
20926 +
20927 +  if (first != -1)
20928 +    {
20929 +      print_reglist_range(first, last, reg_table, need_comma, info);
20930 +      need_comma = 1;
20931 +      first = -1;
20932 +    }
20933 +
20934 +  if (regmask & 0x40)
20935 +    {
20936 +      if (first == -1)
20937 +       first = 14;
20938 +      last = 14;
20939 +    }
20940 +
20941 +  if (regmask & 0x80)
20942 +    {
20943 +      if (first == -1)
20944 +       first = 15;
20945 +      last = 15;
20946 +    }
20947 +
20948 +  if (first != -1)
20949 +    print_reglist_range(first, last, reg_table, need_comma, info);
20950 +}
20951 +
20952 +static int
20953 +print_reglist8(struct avr32_operand *op ATTRIBUTE_UNUSED,
20954 +              struct disassemble_info *info,
20955 +              struct avr32_field_value *ifields)
20956 +{
20957 +  unsigned long regmask = ifields[0].value;
20958 +  int first, need_comma;
20959 +
20960 +  first = print_reglist8_head(regmask, &need_comma, info);
20961 +  print_reglist8_tail(regmask, first, need_comma, info);
20962 +
20963 +  return 1;
20964 +}
20965 +
20966 +static int
20967 +print_reglist9(struct avr32_operand *op ATTRIBUTE_UNUSED,
20968 +              struct disassemble_info *info,
20969 +              struct avr32_field_value *ifields)
20970 +{
20971 +  unsigned long regmask = ifields[0].value >> 1;
20972 +  int first, last, need_comma;
20973 +
20974 +  first = print_reglist8_head(regmask, &need_comma, info);
20975 +
20976 +  if ((ifields[0].value & 0x101) == 0x101)
20977 +    {
20978 +      if (first != -1)
20979 +       {
20980 +         last = 11;
20981 +
20982 +         print_reglist_range(first, last, reg_table, need_comma, info);
20983 +         need_comma = 1;
20984 +         first = -1;
20985 +       }
20986 +
20987 +      print_reglist_range(15, 15, reg_table, need_comma, info);
20988 +
20989 +      regmask >>= 5;
20990 +
20991 +      if ((regmask & 3) == 0)
20992 +       info->fprintf_func(info->stream, ",r12=0");
20993 +      else if ((regmask & 3) == 1)
20994 +       info->fprintf_func(info->stream, ",r12=1");
20995 +      else
20996 +       info->fprintf_func(info->stream, ",r12=-1");
20997 +    }
20998 +  else
20999 +      print_reglist8_tail(regmask, first, need_comma, info);
21000 +
21001 +  return 1;
21002 +}
21003 +
21004 +static int
21005 +print_reglist16(struct avr32_operand *op ATTRIBUTE_UNUSED,
21006 +               struct disassemble_info *info,
21007 +               struct avr32_field_value *ifields)
21008 +{
21009 +  unsigned long regmask = ifields[0].value;
21010 +  unsigned int i = 0, first, last;
21011 +  int need_comma = 0;
21012 +
21013 +  while (i < 16)
21014 +    {
21015 +      if (regmask & 1)
21016 +       {
21017 +         first = i;
21018 +         while (i < 16)
21019 +           {
21020 +             i++;
21021 +             regmask >>= 1;
21022 +             if (!(regmask & 1))
21023 +               break;
21024 +           }
21025 +         last = i - 1;
21026 +         print_reglist_range(first, last, reg_table, need_comma, info);
21027 +         need_comma = 1;
21028 +       }
21029 +      else
21030 +       {
21031 +         i++;
21032 +         regmask >>= 1;
21033 +       }
21034 +    }
21035 +
21036 +  return 1;
21037 +}
21038 +
21039 +static int
21040 +print_reglist_ldm(struct avr32_operand *op,
21041 +                 struct disassemble_info *info,
21042 +                 struct avr32_field_value *ifields)
21043 +{
21044 +  int rp, w_bit;
21045 +  int i, first, last;
21046 +  unsigned long regmask;
21047 +
21048 +  rp = ifields[0].value;
21049 +  w_bit = ifields[1].value;
21050 +  regmask = ifields[2].value;
21051 +
21052 +  if (regmask & (1 << AVR32_REG_PC) && rp == AVR32_REG_PC)
21053 +    {
21054 +      if (w_bit)
21055 +       info->fprintf_func(info->stream, "sp++");
21056 +      else
21057 +       info->fprintf_func(info->stream, "sp");
21058 +
21059 +      for (i = 0; i < 12; )
21060 +       {
21061 +         if (regmask & (1 << i))
21062 +           {
21063 +             first = i;
21064 +             while (i < 12)
21065 +               {
21066 +                 i++;
21067 +                 if (!(regmask & (1 << i)))
21068 +                   break;
21069 +               }
21070 +             last = i - 1;
21071 +             print_reglist_range(first, last, reg_table, 1, info);
21072 +           }
21073 +         else
21074 +           i++;
21075 +       }
21076 +
21077 +      info->fprintf_func(info->stream, ",pc");
21078 +      if (regmask & (1 << AVR32_REG_LR))
21079 +       info->fprintf_func(info->stream, ",r12=-1");
21080 +      else if (regmask & (1 << AVR32_REG_R12))
21081 +       info->fprintf_func(info->stream, ",r12=1");
21082 +      else
21083 +       info->fprintf_func(info->stream, ",r12=0");
21084 +    }
21085 +  else
21086 +    {
21087 +      if (w_bit)
21088 +       info->fprintf_func(info->stream, "%s++,", reg_table[rp].name);
21089 +      else
21090 +       info->fprintf_func(info->stream, "%s,", reg_table[rp].name);
21091 +
21092 +      print_reglist16(op, info, ifields + 2);
21093 +    }
21094 +
21095 +  return 3;
21096 +}
21097 +
21098 +static int
21099 +print_reglist_cp8(struct avr32_operand *op ATTRIBUTE_UNUSED,
21100 +                 struct disassemble_info *info,
21101 +                 struct avr32_field_value *ifields)
21102 +{
21103 +  unsigned long regmask = ifields[0].value;
21104 +  unsigned int i = 0, first, last, offset = 0;
21105 +  int need_comma = 0;
21106 +
21107 +  if (ifields[1].value)
21108 +    offset = 8;
21109 +
21110 +  while (i < 8)
21111 +    {
21112 +      if (regmask & 1)
21113 +       {
21114 +         first = i;
21115 +         while (i < 8)
21116 +           {
21117 +             i++;
21118 +             regmask >>= 1;
21119 +             if (!(regmask & 1))
21120 +               break;
21121 +           }
21122 +         last = i - 1;
21123 +         print_reglist_range(offset + first, offset + last,
21124 +                             cr_table, need_comma, info);
21125 +         need_comma = 1;
21126 +       }
21127 +      else
21128 +       {
21129 +         i++;
21130 +         regmask >>= 1;
21131 +       }
21132 +    }
21133 +
21134 +  return 2;
21135 +}
21136 +
21137 +static int
21138 +print_reglist_cpd8(struct avr32_operand *op ATTRIBUTE_UNUSED,
21139 +                  struct disassemble_info *info,
21140 +                  struct avr32_field_value *ifields)
21141 +{
21142 +  unsigned long regmask = ifields[0].value;
21143 +  unsigned int i = 0, first, last;
21144 +  int need_comma = 0;
21145 +
21146 +  while (i < 8)
21147 +    {
21148 +      if (regmask & 1)
21149 +       {
21150 +         first = 2 * i;
21151 +         while (i < 8)
21152 +           {
21153 +             i++;
21154 +             regmask >>= 1;
21155 +             if (!(regmask & 1))
21156 +               break;
21157 +           }
21158 +         last = 2 * (i - 1) + 1;
21159 +         print_reglist_range(first, last, cr_table, need_comma, info);
21160 +         need_comma = 1;
21161 +       }
21162 +      else
21163 +       {
21164 +         i++;
21165 +         regmask >>= 1;
21166 +       }
21167 +    }
21168 +
21169 +  return 1;
21170 +}
21171 +
21172 +static int
21173 +print_retval(struct avr32_operand *op ATTRIBUTE_UNUSED,
21174 +            struct disassemble_info *info,
21175 +            struct avr32_field_value *ifields)
21176 +{
21177 +  unsigned long regid = ifields[0].value;
21178 +  const char *retval;
21179 +
21180 +  if (regid < AVR32_REG_SP)
21181 +    retval = reg_table[regid].name;
21182 +  else if (regid == AVR32_REG_SP)
21183 +    retval = "0";
21184 +  else if (regid == AVR32_REG_LR)
21185 +    retval = "-1";
21186 +  else
21187 +    retval = "1";
21188 +
21189 +  info->fprintf_func(info->stream, "%s", retval);
21190 +
21191 +  return 1;
21192 +}
21193 +
21194 +static int
21195 +print_mcall(struct avr32_operand *op,
21196 +           struct disassemble_info *info,
21197 +           struct avr32_field_value *ifields)
21198 +{
21199 +  unsigned long regid = ifields[0].value;
21200 +
21201 +  if (regid == AVR32_REG_PC)
21202 +    print_jmplabel(op, info, ifields + 1);
21203 +  else
21204 +    print_intreg_sdisp(op, info, ifields);
21205 +
21206 +  return 2;
21207 +}
21208 +
21209 +static int
21210 +print_jospinc(struct avr32_operand *op ATTRIBUTE_UNUSED,
21211 +             struct disassemble_info *info,
21212 +             struct avr32_field_value *ifields)
21213 +{
21214 +  signed long value = ifields[0].value;
21215 +
21216 +  if (value >= 4)
21217 +    value -= 8;
21218 +  else
21219 +    value += 1;
21220 +
21221 +  info->fprintf_func(info->stream, "%ld", value);
21222 +
21223 +  return 1;
21224 +}
21225 +
21226 +static int
21227 +print_coh(struct avr32_operand *op ATTRIBUTE_UNUSED,
21228 +         struct disassemble_info *info,
21229 +         struct avr32_field_value *ifields ATTRIBUTE_UNUSED)
21230 +{
21231 +  info->fprintf_func(info->stream, "COH");
21232 +  return 0;
21233 +}
21234 +
21235 +#define OP(name, sgn, pcrel, align, func) \
21236 +  { AVR32_OPERAND_##name, pcrel, align, print_##func }
21237 +
21238 +struct avr32_operand operand[AVR32_NR_OPERANDS] =
21239 +  {
21240 +    OP(INTREG, 0, 0, 0, intreg),
21241 +    OP(INTREG_PREDEC, 0, 0, 0, intreg_predec),
21242 +    OP(INTREG_POSTINC, 0, 0, 0, intreg_postinc),
21243 +    OP(INTREG_LSL, 0, 0, 0, intreg_lsl),
21244 +    OP(INTREG_LSR, 0, 0, 0, intreg_lsr),
21245 +    OP(INTREG_BSEL, 0, 0, 0, intreg_bpart),
21246 +    OP(INTREG_HSEL, 0, 0, 1, intreg_hpart),
21247 +    OP(INTREG_SDISP, 1, 0, 0, intreg_sdisp),
21248 +    OP(INTREG_SDISP_H, 1, 0, 1, intreg_sdisp),
21249 +    OP(INTREG_SDISP_W, 1, 0, 2, intreg_sdisp),
21250 +    OP(INTREG_UDISP, 0, 0, 0, intreg_udisp),
21251 +    OP(INTREG_UDISP_H, 0, 0, 1, intreg_udisp),
21252 +    OP(INTREG_UDISP_W, 0, 0, 2, intreg_udisp),
21253 +    OP(INTREG_INDEX, 0, 0, 0, intreg_index),
21254 +    OP(INTREG_XINDEX, 0, 0, 0, intreg_xindex),
21255 +    OP(DWREG, 0, 0, 1, intreg),
21256 +    OP(PC_UDISP_W, 0, 1, 2, pc_disp),
21257 +    OP(SP, 0, 0, 0, sp),
21258 +    OP(SP_UDISP_W, 0, 0, 2, sp_disp),
21259 +    OP(CPNO, 0, 0, 0, cpno),
21260 +    OP(CPREG, 0, 0, 0, cpreg),
21261 +    OP(CPREG_D, 0, 0, 1, cpreg),
21262 +    OP(UNSIGNED_CONST, 0, 0, 0, uconst),
21263 +    OP(UNSIGNED_CONST_W, 0, 0, 2, uconst),
21264 +    OP(SIGNED_CONST, 1, 0, 0, sconst),
21265 +    OP(SIGNED_CONST_W, 1, 0, 2, sconst),
21266 +    OP(JMPLABEL, 1, 1, 1, jmplabel),
21267 +    OP(UNSIGNED_NUMBER, 0, 0, 0, uconst),
21268 +    OP(UNSIGNED_NUMBER_W, 0, 0, 2, uconst),
21269 +    OP(REGLIST8, 0, 0, 0, reglist8),
21270 +    OP(REGLIST9, 0, 0, 0, reglist9),
21271 +    OP(REGLIST16, 0, 0, 0, reglist16),
21272 +    OP(REGLIST_LDM, 0, 0, 0, reglist_ldm),
21273 +    OP(REGLIST_CP8, 0, 0, 0, reglist_cp8),
21274 +    OP(REGLIST_CPD8, 0, 0, 0, reglist_cpd8),
21275 +    OP(RETVAL, 0, 0, 0, retval),
21276 +    OP(MCALL, 1, 0, 2, mcall),
21277 +    OP(JOSPINC, 0, 0, 0, jospinc),
21278 +    OP(COH, 0, 0, 0, coh),
21279 +  };
21280 +
21281 +static void
21282 +print_opcode(bfd_vma insn_word, const struct avr32_opcode *opc,
21283 +            bfd_vma pc, struct disassemble_info *info)
21284 +{
21285 +  const struct avr32_syntax *syntax = opc->syntax;
21286 +  struct avr32_field_value fields[AVR32_MAX_FIELDS];
21287 +  unsigned int i, next_field = 0, nr_operands;
21288 +
21289 +  for (i = 0; i < opc->nr_fields; i++)
21290 +    {
21291 +      opc->fields[i]->extract(opc->fields[i], &insn_word, &fields[i].value);
21292 +      fields[i].ifield = opc->fields[i];
21293 +    }
21294 +
21295 +  current_pc = pc;
21296 +  info->fprintf_func(info->stream, "%s", syntax->mnemonic->name);
21297 +
21298 +  if (syntax->nr_operands < 0)
21299 +    nr_operands = (unsigned int) -syntax->nr_operands;
21300 +  else
21301 +    nr_operands = (unsigned int) syntax->nr_operands;
21302 +
21303 +  for (i = 0; i < nr_operands; i++)
21304 +    {
21305 +      struct avr32_operand *op = &operand[syntax->operand[i]];
21306 +
21307 +      if (i)
21308 +       info->fprintf_func(info->stream, ",");
21309 +      else
21310 +       info->fprintf_func(info->stream, "\t");
21311 +      next_field += op->print(op, info, &fields[next_field]);
21312 +    }
21313 +}
21314 +
21315 +#define is_fpu_insn(iw) ((iw&0xf9f0e000)==0xe1a00000) 
21316 +
21317 +static const struct avr32_opcode *
21318 +find_opcode(bfd_vma insn_word)
21319 +{
21320 +  int i;
21321 +
21322 +  for (i = 0; i < AVR32_NR_OPCODES; i++)
21323 +    {
21324 +      const struct avr32_opcode *opc = &avr32_opc_table[i];
21325 +
21326 +      if ((insn_word & opc->mask) == opc->value)
21327 +      {
21328 +        if (avr32_opt_decode_fpu)
21329 +        {
21330 +          if (is_fpu_insn(insn_word))
21331 +          {
21332 +            if (opc->id != AVR32_OPC_COP)
21333 +              return opc;
21334 +          }
21335 +          else
21336 +            return opc;
21337 +        }
21338 +        else
21339 +       return opc;
21340 +    }
21341 +   }
21342 +
21343 +  return NULL;
21344 +}
21345 +
21346 +static int
21347 +read_insn_word(bfd_vma pc, bfd_vma *valuep,
21348 +              struct disassemble_info *info)
21349 +{
21350 +  bfd_byte b[4];
21351 +  int status;
21352 +
21353 +  status = info->read_memory_func(pc, b, 4, info);
21354 +  if (status)
21355 +    {
21356 +      status = info->read_memory_func(pc, b, 2, info);
21357 +      if (status)
21358 +       {
21359 +         info->memory_error_func(status, pc, info);
21360 +         return -1;
21361 +       }
21362 +      b[3] = b[2] = 0;
21363 +    }
21364 +
21365 +  *valuep =  (b[0] << 24) | (b[1] << 16) | (b[2] << 8) | b[3];
21366 +  return 0;
21367 +}
21368 +
21369 +/* Parse an individual disassembler option.  */
21370 +
21371 +void
21372 +parse_avr32_disassembler_option (option)
21373 +     char * option;
21374 +{
21375 +  if (option == NULL)
21376 +    return;
21377 +
21378 +  if (!strcmp(option,"decode-fpu"))
21379 +  {
21380 +    avr32_opt_decode_fpu = 1;
21381 +    return;
21382 +  }
21383 +
21384 +  printf("\n%s--",option);
21385 +  /* XXX - should break 'option' at following delimiter.  */
21386 +  fprintf (stderr, _("Unrecognised disassembler option: %s\n"), option);
21387 +
21388 +  return;
21389 +}
21390 +
21391 +/* Parse the string of disassembler options, spliting it at whitespaces
21392 +   or commas.  (Whitespace separators supported for backwards compatibility).  */
21393 +
21394 +static void
21395 +parse_disassembler_options (char *options)
21396 +{
21397 +  if (options == NULL)
21398 +    return;
21399 +
21400 +  while (*options)
21401 +    {
21402 +      parse_avr32_disassembler_option (options);
21403 +
21404 +      /* Skip forward to next seperator.  */
21405 +      while ((*options) && (! ISSPACE (*options)) && (*options != ','))
21406 +       ++ options;
21407 +      /* Skip forward past seperators.  */
21408 +      while (ISSPACE (*options) || (*options == ','))
21409 +       ++ options;
21410 +    }
21411 +}
21412 +
21413 +int
21414 +print_insn_avr32(bfd_vma pc, struct disassemble_info *info)
21415 +{
21416 +  bfd_vma insn_word;
21417 +  const struct avr32_opcode *opc;
21418 +
21419 +  if (info->disassembler_options)
21420 +    {
21421 +      parse_disassembler_options (info->disassembler_options);
21422 +
21423 +      /* To avoid repeated parsing of these options, we remove them here.  */
21424 +      info->disassembler_options = NULL;
21425 +    }
21426 +
21427 +  info->bytes_per_chunk = 1;
21428 +  info->display_endian = BFD_ENDIAN_BIG;
21429 +
21430 +  if (read_insn_word(pc, &insn_word, info))
21431 +    return -1;
21432 +
21433 +  opc = find_opcode(insn_word);
21434 +  if (opc)
21435 +    {
21436 +      print_opcode(insn_word, opc, pc, info);
21437 +      return opc->size;
21438 +    }
21439 +  else
21440 +    {
21441 +      info->fprintf_func(info->stream, _("*unknown*"));
21442 +      return 2;
21443 +    }
21444 +
21445 +}
21446 +
21447 +void
21448 +print_avr32_disassembler_options (FILE *stream ATTRIBUTE_UNUSED)
21449 +{
21450 +  fprintf(stream, "\n AVR32 Specific Disassembler Options:\n");
21451 +  fprintf(stream, "  -M decode-fpu                  Print FPU instructions instead of 'cop' \n");
21452 +}
21453 +
21454 --- /dev/null
21455 +++ b/opcodes/avr32-opc.c
21456 @@ -0,0 +1,6906 @@
21457 +/* Opcode tables for AVR32.
21458 +   Copyright 2005,2006,2007,2008,2009 Atmel Corporation.
21459 +
21460 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
21461 +
21462 +   This file is part of libopcodes.
21463 +
21464 +   This program is free software; you can redistribute it and/or
21465 +   modify it under the terms of the GNU General Public License as
21466 +   published by the Free Software Foundation; either version 2 of the
21467 +   License, or (at your option) any later version.
21468 +
21469 +   This program is distributed in the hope that it will be useful, but
21470 +   WITHOUT ANY WARRANTY; without even the implied warranty of
21471 +   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
21472 +   General Public License for more details.
21473 +
21474 +   You should have received a copy of the GNU General Public License
21475 +   along with this program; if not, write to the Free Software
21476 +   Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA
21477 +   02111-1307, USA.  */
21478 +
21479 +#include <stdlib.h>
21480 +#include <assert.h>
21481 +
21482 +#include "avr32-opc.h"
21483 +
21484 +#define PICO_CPNO      1
21485 +
21486 +void
21487 +avr32_insert_simple(const struct avr32_ifield *field,
21488 +                   void *buf, unsigned long value)
21489 +{
21490 +  bfd_vma word;
21491 +
21492 +  word = bfd_getb32(buf);
21493 +  word &= ~field->mask;
21494 +  word |= (value << field->shift) & field->mask;
21495 +  bfd_putb32(word, buf);
21496 +}
21497 +
21498 +void
21499 +avr32_insert_bit5c(const struct avr32_ifield *field ATTRIBUTE_UNUSED,
21500 +                  void *buf, unsigned long value)
21501 +{
21502 +  char *opcode = buf;
21503 +
21504 +  opcode[0] = (opcode[0] & 0xe1) | (value & 0x1e);
21505 +  opcode[1] = (opcode[1] & 0xef) | ((value & 1) << 4);
21506 +}
21507 +
21508 +void
21509 +avr32_insert_k10(const struct avr32_ifield *field ATTRIBUTE_UNUSED,
21510 +                void *buf, unsigned long value)
21511 +{
21512 +  char *opcode = buf;
21513 +
21514 +  opcode[0] = (opcode[0] & 0xf0) | ((value & 0xf0) >> 4);
21515 +  opcode[1] = ((opcode[1] & 0x0c) | ((value & 0x0f) << 4)
21516 +              | ((value & 0x300) >> 8));
21517 +}
21518 +
21519 +
21520 +void
21521 +avr32_insert_k21(const struct avr32_ifield *field,
21522 +                void *buf, unsigned long value)
21523 +{
21524 +  bfd_vma word;
21525 +  bfd_vma k21;
21526 +
21527 +  word = bfd_getb32(buf);
21528 +  word &= ~field->mask;
21529 +  k21 = ((value & 0xffff) | ((value & 0x10000) << 4)
21530 +        | ((value & 0x1e0000) << 8));
21531 +  assert(!(k21 & ~field->mask));
21532 +  word |= k21;
21533 +  bfd_putb32(word, buf);
21534 +}
21535 +
21536 +void
21537 +avr32_insert_cpop(const struct avr32_ifield *field,
21538 +                 void *buf, unsigned long value)
21539 +{
21540 +  bfd_vma word;
21541 +
21542 +  word = bfd_getb32(buf);
21543 +  word &= ~field->mask;
21544 +  word |= (((value & 0x1e) << 15) | ((value & 0x60) << 20)
21545 +          | ((value & 0x01) << 12));
21546 +  bfd_putb32(word, buf);
21547 +}
21548 +
21549 +void
21550 +avr32_insert_k12cp(const struct avr32_ifield *field,
21551 +                  void *buf, unsigned long value)
21552 +{
21553 +  bfd_vma word;
21554 +
21555 +  word = bfd_getb32(buf);
21556 +  word &= ~field->mask;
21557 +  word |= ((value & 0xf00) << 4) | (value & 0xff);
21558 +  bfd_putb32(word, buf);
21559 +}
21560 +
21561 +void avr32_extract_simple(const struct avr32_ifield *field,
21562 +                         void *buf, unsigned long *value)
21563 +{
21564 +  /* XXX: The disassembler has done any necessary byteswapping already */
21565 +  bfd_vma word = *(bfd_vma *)buf;
21566 +
21567 +  *value = (word & field->mask) >> field->shift;
21568 +}
21569 +
21570 +void avr32_extract_bit5c(const struct avr32_ifield *field ATTRIBUTE_UNUSED,
21571 +                        void *buf, unsigned long *value)
21572 +{
21573 +  bfd_vma word = *(bfd_vma *)buf;
21574 +
21575 +  *value = ((word >> 20) & 1) | ((word >> 24) & 0x1e);
21576 +}
21577 +
21578 +void avr32_extract_k10(const struct avr32_ifield *field ATTRIBUTE_UNUSED,
21579 +                      void *buf, unsigned long *value)
21580 +{
21581 +  bfd_vma word = *(bfd_vma *)buf;
21582 +
21583 +  *value = ((word >> 8) & 0x300) | ((word >> 20) & 0xff);
21584 +}
21585 +
21586 +void avr32_extract_k21(const struct avr32_ifield *field ATTRIBUTE_UNUSED,
21587 +                      void *buf, unsigned long *value)
21588 +{
21589 +  bfd_vma word = *(bfd_vma *)buf;
21590 +
21591 +  *value = ((word & 0xffff) | ((word >> 4) & 0x10000)
21592 +           | ((word >> 8) & 0x1e0000));
21593 +}
21594 +
21595 +void avr32_extract_cpop(const struct avr32_ifield *field ATTRIBUTE_UNUSED,
21596 +                       void *buf, unsigned long *value)
21597 +{
21598 +  bfd_vma word = *(bfd_vma *)buf;
21599 +
21600 +  *value = (((word >> 12) & 1) | ((word >> 15) & 0x1e)
21601 +           | ((word >> 20) & 0x60));
21602 +}
21603 +
21604 +void avr32_extract_k12cp(const struct avr32_ifield *field ATTRIBUTE_UNUSED,
21605 +                        void *buf, unsigned long *value)
21606 +{
21607 +  bfd_vma word = *(bfd_vma *)buf;
21608 +
21609 +  *value = ((word >> 4) & 0xf00) | (word & 0xff);
21610 +}
21611 +
21612 +
21613 +#define IFLD(id, bitsz, shift, mask, func) \
21614 +  { AVR32_IFIELD_##id, bitsz, shift, mask, \
21615 +    avr32_insert_##func, avr32_extract_##func }
21616 +
21617 +const struct avr32_ifield avr32_ifield_table[] =
21618 +  {
21619 +    IFLD(RX, 4, 25, 0x1e000000, simple),
21620 +    IFLD(RY, 4, 16, 0x000f0000, simple),
21621 +    IFLD(COND4C, 4, 20, 0x00f00000, simple),
21622 +    IFLD(K8C, 8, 20, 0x0ff00000, simple),
21623 +    IFLD(K7C, 7, 20, 0x07f00000, simple),
21624 +    IFLD(K5C, 5, 20, 0x01f00000, simple),
21625 +    IFLD(K3, 3, 20, 0x00700000, simple),
21626 +    IFLD(RY_DW, 3, 17, 0x000e0000, simple),
21627 +    IFLD(COND4E, 4, 8, 0x00000f00, simple),
21628 +    IFLD(K8E, 8, 0, 0x000000ff, simple),
21629 +    IFLD(BIT5C, 5, 20, 0x1e100000, bit5c),
21630 +    IFLD(COND3, 3, 16, 0x00070000, simple),
21631 +    IFLD(K10, 10, 16, 0x0ff30000, k10),
21632 +    IFLD(POPM, 9, 19, 0x0ff80000, simple),
21633 +    IFLD(K2, 2, 4, 0x00000030, simple),
21634 +    IFLD(RD_E, 4, 0, 0x0000000f, simple),
21635 +    IFLD(RD_DW, 3, 1, 0x0000000e, simple),
21636 +    IFLD(X, 1, 5, 0x00000020, simple),
21637 +    IFLD(Y, 1, 4, 0x00000010, simple),
21638 +    IFLD(X2, 1, 13, 0x00002000, simple),
21639 +    IFLD(Y2, 1, 12, 0x00001000, simple),
21640 +    IFLD(K5E, 5, 0, 0x0000001f, simple),
21641 +    IFLD(PART2, 2, 0, 0x00000003, simple),
21642 +    IFLD(PART1, 1, 0, 0x00000001, simple),
21643 +    IFLD(K16, 16, 0, 0x0000ffff, simple),
21644 +    IFLD(CACHEOP, 5, 11, 0x0000f800, simple),
21645 +    IFLD(K11, 11, 0, 0x000007ff, simple),
21646 +    IFLD(K21, 21, 0, 0x1e10ffff, k21),
21647 +    IFLD(CPOP, 7, 12, 0x060f1000, cpop),
21648 +    IFLD(CPNO, 3, 13, 0x0000e000, simple),
21649 +    IFLD(CRD_RI, 4, 8, 0x00000f00, simple),
21650 +    IFLD(CRX, 4, 4, 0x000000f0, simple),
21651 +    IFLD(CRY, 4, 0, 0x0000000f, simple),
21652 +    IFLD(K7E, 7, 0, 0x0000007f, simple),
21653 +    IFLD(CRD_DW, 3, 9, 0x00000e00, simple),
21654 +    IFLD(PART1_K12, 1, 12, 0x00001000, simple),
21655 +    IFLD(PART2_K12, 2, 12, 0x00003000, simple),
21656 +    IFLD(K12, 12, 0, 0x00000fff, simple),
21657 +    IFLD(S5, 5, 5, 0x000003e0, simple),
21658 +    IFLD(K5E2, 5, 4, 0x000001f0, simple),
21659 +    IFLD(K4, 4, 20, 0x00f00000, simple),
21660 +    IFLD(COND4E2, 4, 4, 0x000000f0, simple),
21661 +    IFLD(K8E2, 8, 4, 0x00000ff0, simple),
21662 +    IFLD(K6, 6, 20, 0x03f00000, simple),
21663 +    IFLD(MEM15, 15, 0, 0x00007fff, simple),
21664 +    IFLD(MEMB5, 5, 15, 0x000f8000, simple),
21665 +    IFLD(W, 1, 25, 0x02000000, simple),
21666 +    /* Coprocessor Multiple High/Low */
21667 +    IFLD(CM_HL, 1, 8, 0x00000100, simple),
21668 +    IFLD(K12CP, 12 ,0, 0x0000f0ff, k12cp),
21669 +    IFLD(K9E, 9 ,0, 0x000001ff, simple),
21670 +    IFLD (FP_RX, 4,  4, 0x000000F0, simple),
21671 +    IFLD (FP_RY, 4,  0, 0x0000000F, simple),
21672 +    IFLD (FP_RD, 4,  8, 0x00000F00, simple),
21673 +    IFLD (FP_RA, 4, 16, 0x000F0000, simple) 
21674 +  };
21675 +#undef IFLD
21676 +
21677 +
21678 +struct avr32_opcode avr32_opc_table[] =
21679 +  {
21680 +    {
21681 +      AVR32_OPC_ABS, 2, 0x5c400000, 0xfff00000,
21682 +      &avr32_syntax_table[AVR32_SYNTAX_ABS],
21683 +      BFD_RELOC_UNUSED, 1, -1,
21684 +      {
21685 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21686 +      }
21687 +    },
21688 +    {
21689 +      AVR32_OPC_ACALL, 2, 0xd0000000, 0xf00f0000,
21690 +      &avr32_syntax_table[AVR32_SYNTAX_ACALL],
21691 +      BFD_RELOC_UNUSED, 1, -1,
21692 +      {
21693 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
21694 +      },
21695 +    },
21696 +    {
21697 +      AVR32_OPC_ACR, 2, 0x5c000000, 0xfff00000,
21698 +      &avr32_syntax_table[AVR32_SYNTAX_ACR],
21699 +      BFD_RELOC_UNUSED, 1, -1,
21700 +      {
21701 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21702 +      },
21703 +    },
21704 +    {
21705 +      AVR32_OPC_ADC, 4, 0xe0000040, 0xe1f0fff0,
21706 +      &avr32_syntax_table[AVR32_SYNTAX_ADC],
21707 +      BFD_RELOC_UNUSED, 3, -1,
21708 +      {
21709 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
21710 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21711 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21712 +      },
21713 +    },
21714 +    {
21715 +      AVR32_OPC_ADD1, 2, 0x00000000, 0xe1f00000,
21716 +      &avr32_syntax_table[AVR32_SYNTAX_ADD1],
21717 +      BFD_RELOC_UNUSED, 2, -1,
21718 +      {
21719 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21720 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21721 +      },
21722 +    },
21723 +    {
21724 +      AVR32_OPC_ADD2, 4, 0xe0000000, 0xe1f0ffc0,
21725 +      &avr32_syntax_table[AVR32_SYNTAX_ADD2],
21726 +      BFD_RELOC_UNUSED, 4, -1,
21727 +      {
21728 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
21729 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21730 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21731 +       &avr32_ifield_table[AVR32_IFIELD_K2],
21732 +      },
21733 +    },
21734 +    {
21735 +      AVR32_OPC_ADDABS, 4, 0xe0000e40, 0xe1f0fff0,
21736 +      &avr32_syntax_table[AVR32_SYNTAX_ADDABS],
21737 +      BFD_RELOC_UNUSED, 3, -1,
21738 +      {
21739 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
21740 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21741 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21742 +      },
21743 +    },
21744 +    {
21745 +      AVR32_OPC_ADDHH_W, 4, 0xe0000e00, 0xe1f0ffc0,
21746 +      &avr32_syntax_table[AVR32_SYNTAX_ADDHH_W],
21747 +      BFD_RELOC_UNUSED, 5, -1,
21748 +      {
21749 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
21750 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21751 +       &avr32_ifield_table[AVR32_IFIELD_X],
21752 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21753 +       &avr32_ifield_table[AVR32_IFIELD_Y],
21754 +      },
21755 +    },
21756 +    {
21757 +      AVR32_OPC_AND1, 2, 0x00600000, 0xe1f00000,
21758 +      &avr32_syntax_table[AVR32_SYNTAX_AND1],
21759 +      BFD_RELOC_UNUSED, 2, -1,
21760 +      {
21761 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21762 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21763 +      },
21764 +    },
21765 +    {
21766 +      AVR32_OPC_AND2, 4, 0xe1e00000, 0xe1f0fe00,
21767 +      &avr32_syntax_table[AVR32_SYNTAX_AND2],
21768 +      BFD_RELOC_UNUSED, 4, -1,
21769 +      {
21770 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
21771 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21772 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21773 +       &avr32_ifield_table[AVR32_IFIELD_K5E2],
21774 +      },
21775 +    },
21776 +    {
21777 +      AVR32_OPC_AND3, 4, 0xe1e00200, 0xe1f0fe00,
21778 +      &avr32_syntax_table[AVR32_SYNTAX_AND3],
21779 +      BFD_RELOC_UNUSED, 4, -1,
21780 +      {
21781 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
21782 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21783 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21784 +       &avr32_ifield_table[AVR32_IFIELD_K5E2],
21785 +      },
21786 +    },
21787 +    {
21788 +      AVR32_OPC_ANDH, 4, 0xe4100000, 0xfff00000,
21789 +      &avr32_syntax_table[AVR32_SYNTAX_ANDH],
21790 +      BFD_RELOC_AVR32_16U, 2, 1,
21791 +      {
21792 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21793 +       &avr32_ifield_table[AVR32_IFIELD_K16],
21794 +      },
21795 +    },
21796 +    {
21797 +      AVR32_OPC_ANDH_COH, 4, 0xe6100000, 0xfff00000,
21798 +      &avr32_syntax_table[AVR32_SYNTAX_ANDH_COH],
21799 +      BFD_RELOC_AVR32_16U, 2, 1,
21800 +      {
21801 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21802 +       &avr32_ifield_table[AVR32_IFIELD_K16],
21803 +      },
21804 +    },
21805 +    {
21806 +      AVR32_OPC_ANDL, 4, 0xe0100000, 0xfff00000,
21807 +      &avr32_syntax_table[AVR32_SYNTAX_ANDL],
21808 +      BFD_RELOC_AVR32_16U, 2, 1,
21809 +      {
21810 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21811 +       &avr32_ifield_table[AVR32_IFIELD_K16],
21812 +      },
21813 +    },
21814 +    {
21815 +      AVR32_OPC_ANDL_COH, 4, 0xe2100000, 0xfff00000,
21816 +      &avr32_syntax_table[AVR32_SYNTAX_ANDL_COH],
21817 +      BFD_RELOC_AVR32_16U, 2, 1,
21818 +      {
21819 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21820 +       &avr32_ifield_table[AVR32_IFIELD_K16],
21821 +      },
21822 +    },
21823 +    {
21824 +      AVR32_OPC_ANDN, 2, 0x00800000, 0xe1f00000,
21825 +      &avr32_syntax_table[AVR32_SYNTAX_ANDN],
21826 +      BFD_RELOC_UNUSED, 2, -1,
21827 +      {
21828 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21829 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21830 +      },
21831 +    },
21832 +    {
21833 +      AVR32_OPC_ASR1, 4, 0xe0000840, 0xe1f0fff0,
21834 +      &avr32_syntax_table[AVR32_SYNTAX_ASR1],
21835 +      BFD_RELOC_UNUSED, 3, -1,
21836 +      {
21837 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
21838 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21839 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21840 +      },
21841 +    },
21842 +    {
21843 +      AVR32_OPC_ASR3, 4, 0xe0001400, 0xe1f0ffe0,
21844 +      &avr32_syntax_table[AVR32_SYNTAX_ASR3],
21845 +      BFD_RELOC_UNUSED, 3, -1,
21846 +      {
21847 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21848 +       &avr32_ifield_table[AVR32_IFIELD_RX],
21849 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
21850 +      },
21851 +    },
21852 +    {
21853 +      AVR32_OPC_ASR2, 2, 0xa1400000, 0xe1e00000,
21854 +      &avr32_syntax_table[AVR32_SYNTAX_ASR2],
21855 +      BFD_RELOC_UNUSED, 2, -1,
21856 +      {
21857 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21858 +       &avr32_ifield_table[AVR32_IFIELD_BIT5C],
21859 +      },
21860 +    },
21861 +    {
21862 +      AVR32_OPC_BLD, 4, 0xedb00000, 0xfff0ffe0,
21863 +      &avr32_syntax_table[AVR32_SYNTAX_BLD],
21864 +      BFD_RELOC_UNUSED, 2, -1,
21865 +      {
21866 +       &avr32_ifield_table[AVR32_IFIELD_RY],
21867 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
21868 +      },
21869 +    },
21870 +    {
21871 +      AVR32_OPC_BREQ1, 2, 0xc0000000, 0xf00f0000,
21872 +      &avr32_syntax_table[AVR32_SYNTAX_BREQ1],
21873 +      BFD_RELOC_AVR32_9H_PCREL, 1, 0,
21874 +      {
21875 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
21876 +      },
21877 +    },
21878 +    {
21879 +      AVR32_OPC_BRNE1, 2, 0xc0010000, 0xf00f0000,
21880 +      &avr32_syntax_table[AVR32_SYNTAX_BRNE1],
21881 +      BFD_RELOC_AVR32_9H_PCREL, 1, 0,
21882 +      {
21883 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
21884 +      },
21885 +    },
21886 +    {
21887 +      AVR32_OPC_BRCC1, 2, 0xc0020000, 0xf00f0000,
21888 +      &avr32_syntax_table[AVR32_SYNTAX_BRCC1],
21889 +      BFD_RELOC_AVR32_9H_PCREL, 1, 0,
21890 +      {
21891 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
21892 +      },
21893 +    },
21894 +    {
21895 +      AVR32_OPC_BRCS1, 2, 0xc0030000, 0xf00f0000,
21896 +      &avr32_syntax_table[AVR32_SYNTAX_BRCS1],
21897 +      BFD_RELOC_AVR32_9H_PCREL, 1, 0,
21898 +      {
21899 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
21900 +      },
21901 +    },
21902 +    {
21903 +      AVR32_OPC_BRGE1, 2, 0xc0040000, 0xf00f0000,
21904 +      &avr32_syntax_table[AVR32_SYNTAX_BRGE1],
21905 +      BFD_RELOC_AVR32_9H_PCREL, 1, 0,
21906 +      {
21907 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
21908 +      },
21909 +    },
21910 +    {
21911 +      AVR32_OPC_BRLT1, 2, 0xc0050000, 0xf00f0000,
21912 +      &avr32_syntax_table[AVR32_SYNTAX_BRLT1],
21913 +      BFD_RELOC_AVR32_9H_PCREL, 1, 0,
21914 +      {
21915 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
21916 +      },
21917 +    },
21918 +    {
21919 +      AVR32_OPC_BRMI1, 2, 0xc0060000, 0xf00f0000,
21920 +      &avr32_syntax_table[AVR32_SYNTAX_BRMI1],
21921 +      BFD_RELOC_AVR32_9H_PCREL, 1, 0,
21922 +      {
21923 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
21924 +      },
21925 +    },
21926 +    {
21927 +      AVR32_OPC_BRPL1, 2, 0xc0070000, 0xf00f0000,
21928 +      &avr32_syntax_table[AVR32_SYNTAX_BRPL1],
21929 +      BFD_RELOC_AVR32_9H_PCREL, 1, 0,
21930 +      {
21931 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
21932 +      },
21933 +    },
21934 +    {
21935 +      AVR32_OPC_BREQ2, 4, 0xe0800000, 0xe1ef0000,
21936 +      &avr32_syntax_table[AVR32_SYNTAX_BREQ2],
21937 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
21938 +      {
21939 +       &avr32_ifield_table[AVR32_IFIELD_K21],
21940 +      },
21941 +    },
21942 +    {
21943 +      AVR32_OPC_BRNE2, 4, 0xe0810000, 0xe1ef0000,
21944 +      &avr32_syntax_table[AVR32_SYNTAX_BRNE2],
21945 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
21946 +      {
21947 +       &avr32_ifield_table[AVR32_IFIELD_K21],
21948 +      },
21949 +    },
21950 +    {
21951 +      AVR32_OPC_BRCC2, 4, 0xe0820000, 0xe1ef0000,
21952 +      &avr32_syntax_table[AVR32_SYNTAX_BRHS2],
21953 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
21954 +      {
21955 +       &avr32_ifield_table[AVR32_IFIELD_K21],
21956 +      },
21957 +    },
21958 +    {
21959 +      AVR32_OPC_BRCS2, 4, 0xe0830000, 0xe1ef0000,
21960 +      &avr32_syntax_table[AVR32_SYNTAX_BRLO2],
21961 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
21962 +      {
21963 +       &avr32_ifield_table[AVR32_IFIELD_K21],
21964 +      },
21965 +    },
21966 +    {
21967 +      AVR32_OPC_BRGE2, 4, 0xe0840000, 0xe1ef0000,
21968 +      &avr32_syntax_table[AVR32_SYNTAX_BRGE2],
21969 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
21970 +      {
21971 +       &avr32_ifield_table[AVR32_IFIELD_K21],
21972 +      },
21973 +    },
21974 +    {
21975 +      AVR32_OPC_BRLT2, 4, 0xe0850000, 0xe1ef0000,
21976 +      &avr32_syntax_table[AVR32_SYNTAX_BRLT2],
21977 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
21978 +      {
21979 +       &avr32_ifield_table[AVR32_IFIELD_K21],
21980 +      },
21981 +    },
21982 +    {
21983 +      AVR32_OPC_BRMI2, 4, 0xe0860000, 0xe1ef0000,
21984 +      &avr32_syntax_table[AVR32_SYNTAX_BRMI2],
21985 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
21986 +      {
21987 +       &avr32_ifield_table[AVR32_IFIELD_K21],
21988 +      },
21989 +    },
21990 +    {
21991 +      AVR32_OPC_BRPL2, 4, 0xe0870000, 0xe1ef0000,
21992 +      &avr32_syntax_table[AVR32_SYNTAX_BRPL2],
21993 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
21994 +      {
21995 +       &avr32_ifield_table[AVR32_IFIELD_K21],
21996 +      },
21997 +    },
21998 +    {
21999 +      AVR32_OPC_BRLS, 4, 0xe0880000, 0xe1ef0000,
22000 +      &avr32_syntax_table[AVR32_SYNTAX_BRLS],
22001 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
22002 +      {
22003 +       &avr32_ifield_table[AVR32_IFIELD_K21],
22004 +      },
22005 +    },
22006 +    {
22007 +      AVR32_OPC_BRGT, 4, 0xe0890000, 0xe1ef0000,
22008 +      &avr32_syntax_table[AVR32_SYNTAX_BRGT],
22009 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
22010 +      {
22011 +       &avr32_ifield_table[AVR32_IFIELD_K21],
22012 +      },
22013 +    },
22014 +    {
22015 +      AVR32_OPC_BRLE, 4, 0xe08a0000, 0xe1ef0000,
22016 +      &avr32_syntax_table[AVR32_SYNTAX_BRLE],
22017 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
22018 +      {
22019 +       &avr32_ifield_table[AVR32_IFIELD_K21],
22020 +      },
22021 +    },
22022 +    {
22023 +      AVR32_OPC_BRHI, 4, 0xe08b0000, 0xe1ef0000,
22024 +      &avr32_syntax_table[AVR32_SYNTAX_BRHI],
22025 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
22026 +      {
22027 +       &avr32_ifield_table[AVR32_IFIELD_K21],
22028 +      },
22029 +    },
22030 +    {
22031 +      AVR32_OPC_BRVS, 4, 0xe08c0000, 0xe1ef0000,
22032 +      &avr32_syntax_table[AVR32_SYNTAX_BRVS],
22033 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
22034 +      {
22035 +       &avr32_ifield_table[AVR32_IFIELD_K21],
22036 +      },
22037 +    },
22038 +    {
22039 +      AVR32_OPC_BRVC, 4, 0xe08d0000, 0xe1ef0000,
22040 +      &avr32_syntax_table[AVR32_SYNTAX_BRVC],
22041 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
22042 +      {
22043 +       &avr32_ifield_table[AVR32_IFIELD_K21],
22044 +      },
22045 +    },
22046 +    {
22047 +      AVR32_OPC_BRQS, 4, 0xe08e0000, 0xe1ef0000,
22048 +      &avr32_syntax_table[AVR32_SYNTAX_BRQS],
22049 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
22050 +      {
22051 +       &avr32_ifield_table[AVR32_IFIELD_K21],
22052 +      },
22053 +    },
22054 +    {
22055 +      AVR32_OPC_BRAL, 4, 0xe08f0000, 0xe1ef0000,
22056 +      &avr32_syntax_table[AVR32_SYNTAX_BRAL],
22057 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
22058 +      {
22059 +       &avr32_ifield_table[AVR32_IFIELD_K21],
22060 +      },
22061 +    },
22062 +    {
22063 +      AVR32_OPC_BREAKPOINT, 2, 0xd6730000, 0xffff0000,
22064 +      &avr32_syntax_table[AVR32_SYNTAX_BREAKPOINT],
22065 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
22066 +    },
22067 +    {
22068 +      AVR32_OPC_BREV, 2, 0x5c900000, 0xfff00000,
22069 +      &avr32_syntax_table[AVR32_SYNTAX_BREV],
22070 +      BFD_RELOC_UNUSED, 1, -1,
22071 +      {
22072 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22073 +      },
22074 +    },
22075 +    {
22076 +      AVR32_OPC_BST, 4, 0xefb00000, 0xfff0ffe0,
22077 +      &avr32_syntax_table[AVR32_SYNTAX_BST],
22078 +      BFD_RELOC_UNUSED, 2, -1,
22079 +      {
22080 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22081 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
22082 +      },
22083 +    },
22084 +    {
22085 +      AVR32_OPC_CACHE, 4, 0xf4100000, 0xfff00000,
22086 +      &avr32_syntax_table[AVR32_SYNTAX_CACHE],
22087 +      BFD_RELOC_UNUSED, 3, -1,
22088 +      {
22089 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22090 +       &avr32_ifield_table[AVR32_IFIELD_K11],
22091 +       &avr32_ifield_table[AVR32_IFIELD_CACHEOP],
22092 +      },
22093 +    },
22094 +    {
22095 +      AVR32_OPC_CASTS_B, 2, 0x5c600000, 0xfff00000,
22096 +      &avr32_syntax_table[AVR32_SYNTAX_CASTS_B],
22097 +      BFD_RELOC_UNUSED, 1, -1,
22098 +      {
22099 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22100 +      },
22101 +    },
22102 +    {
22103 +      AVR32_OPC_CASTS_H, 2, 0x5c800000, 0xfff00000,
22104 +      &avr32_syntax_table[AVR32_SYNTAX_CASTS_H],
22105 +      BFD_RELOC_UNUSED, 1, -1,
22106 +      {
22107 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22108 +      },
22109 +    },
22110 +    {
22111 +      AVR32_OPC_CASTU_B, 2, 0x5c500000, 0xfff00000,
22112 +      &avr32_syntax_table[AVR32_SYNTAX_CASTU_B],
22113 +      BFD_RELOC_UNUSED, 1, -1,
22114 +      {
22115 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22116 +      },
22117 +    },
22118 +    {
22119 +      AVR32_OPC_CASTU_H, 2, 0x5c700000, 0xfff00000,
22120 +      &avr32_syntax_table[AVR32_SYNTAX_CASTU_H],
22121 +      BFD_RELOC_UNUSED, 1, -1,
22122 +      {
22123 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22124 +      },
22125 +    },
22126 +    {
22127 +      AVR32_OPC_CBR, 2, 0xa1c00000, 0xe1e00000,
22128 +      &avr32_syntax_table[AVR32_SYNTAX_CBR],
22129 +      BFD_RELOC_UNUSED, 2, -1,
22130 +      {
22131 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22132 +       &avr32_ifield_table[AVR32_IFIELD_BIT5C],
22133 +      },
22134 +    },
22135 +    {
22136 +      AVR32_OPC_CLZ, 4, 0xe0001200, 0xe1f0ffff,
22137 +      &avr32_syntax_table[AVR32_SYNTAX_CLZ],
22138 +      BFD_RELOC_UNUSED, 2, -1,
22139 +      {
22140 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22141 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22142 +      },
22143 +    },
22144 +    {
22145 +      AVR32_OPC_COM, 2, 0x5cd00000, 0xfff00000,
22146 +      &avr32_syntax_table[AVR32_SYNTAX_COM],
22147 +      BFD_RELOC_UNUSED, 1, -1,
22148 +      {
22149 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22150 +      },
22151 +    },
22152 +    {
22153 +      AVR32_OPC_COP, 4, 0xe1a00000, 0xf9f00000,
22154 +      &avr32_syntax_table[AVR32_SYNTAX_COP],
22155 +      BFD_RELOC_UNUSED, 5, -1,
22156 +      {
22157 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22158 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
22159 +       &avr32_ifield_table[AVR32_IFIELD_CRX],
22160 +       &avr32_ifield_table[AVR32_IFIELD_CRY],
22161 +       &avr32_ifield_table[AVR32_IFIELD_CPOP],
22162 +      },
22163 +    },
22164 +    {
22165 +      AVR32_OPC_CP_B, 4, 0xe0001800, 0xe1f0ffff,
22166 +      &avr32_syntax_table[AVR32_SYNTAX_CP_B],
22167 +      BFD_RELOC_UNUSED, 2, -1,
22168 +      {
22169 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22170 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22171 +      },
22172 +    },
22173 +    {
22174 +      AVR32_OPC_CP_H, 4, 0xe0001900, 0xe1f0ffff,
22175 +      &avr32_syntax_table[AVR32_SYNTAX_CP_H],
22176 +      BFD_RELOC_UNUSED, 2, -1,
22177 +      {
22178 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22179 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22180 +      },
22181 +    },
22182 +    {
22183 +      AVR32_OPC_CP_W1, 2, 0x00300000, 0xe1f00000,
22184 +      &avr32_syntax_table[AVR32_SYNTAX_CP_W1],
22185 +      BFD_RELOC_UNUSED, 2, -1,
22186 +      {
22187 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22188 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22189 +      },
22190 +    },
22191 +    {
22192 +      AVR32_OPC_CP_W2, 2, 0x58000000, 0xfc000000,
22193 +      &avr32_syntax_table[AVR32_SYNTAX_CP_W2],
22194 +      BFD_RELOC_AVR32_6S, 2, 1,
22195 +      {
22196 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22197 +       &avr32_ifield_table[AVR32_IFIELD_K6],
22198 +      },
22199 +    },
22200 +    {
22201 +      AVR32_OPC_CP_W3, 4, 0xe0400000, 0xe1e00000,
22202 +      &avr32_syntax_table[AVR32_SYNTAX_CP_W3],
22203 +      BFD_RELOC_AVR32_21S, 2, 1,
22204 +      {
22205 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22206 +       &avr32_ifield_table[AVR32_IFIELD_K21],
22207 +      },
22208 +    },
22209 +    {
22210 +      AVR32_OPC_CPC1, 4, 0xe0001300, 0xe1f0ffff,
22211 +      &avr32_syntax_table[AVR32_SYNTAX_CPC1],
22212 +      BFD_RELOC_UNUSED, 2, -1,
22213 +      {
22214 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22215 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22216 +      },
22217 +    },
22218 +    {
22219 +      AVR32_OPC_CPC2, 2, 0x5c200000, 0xfff00000,
22220 +      &avr32_syntax_table[AVR32_SYNTAX_CPC2],
22221 +      BFD_RELOC_UNUSED, 1, -1,
22222 +      {
22223 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22224 +      },
22225 +    },
22226 +    {
22227 +      AVR32_OPC_CSRF, 2, 0xd4030000, 0xfe0f0000,
22228 +      &avr32_syntax_table[AVR32_SYNTAX_CSRF],
22229 +      BFD_RELOC_UNUSED, 1, -1,
22230 +      {
22231 +       &avr32_ifield_table[AVR32_IFIELD_K5C],
22232 +      },
22233 +    },
22234 +    {
22235 +      AVR32_OPC_CSRFCZ, 2, 0xd0030000, 0xfe0f0000,
22236 +      &avr32_syntax_table[AVR32_SYNTAX_CSRFCZ],
22237 +      BFD_RELOC_UNUSED, 1, -1,
22238 +      {
22239 +       &avr32_ifield_table[AVR32_IFIELD_K5C],
22240 +      },
22241 +    },
22242 +    {
22243 +      AVR32_OPC_DIVS, 4, 0xe0000c00, 0xe1f0ffc0,
22244 +      &avr32_syntax_table[AVR32_SYNTAX_DIVS],
22245 +      BFD_RELOC_UNUSED, 3, -1,
22246 +      {
22247 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22248 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22249 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22250 +      },
22251 +    },
22252 +    {
22253 +      AVR32_OPC_DIVU, 4, 0xe0000d00, 0xe1f0ffc0,
22254 +      &avr32_syntax_table[AVR32_SYNTAX_DIVU],
22255 +      BFD_RELOC_UNUSED, 3, -1,
22256 +      {
22257 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22258 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22259 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22260 +      },
22261 +    },
22262 +    {
22263 +      AVR32_OPC_EOR1, 2, 0x00500000, 0xe1f00000,
22264 +      &avr32_syntax_table[AVR32_SYNTAX_EOR1],
22265 +      BFD_RELOC_UNUSED, 2, -1,
22266 +      {
22267 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22268 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22269 +      },
22270 +    },
22271 +    {
22272 +      AVR32_OPC_EOR2, 4, 0xe1e02000, 0xe1f0fe00,
22273 +      &avr32_syntax_table[AVR32_SYNTAX_EOR2],
22274 +      BFD_RELOC_UNUSED, 4, -1,
22275 +      {
22276 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22277 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22278 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22279 +       &avr32_ifield_table[AVR32_IFIELD_K5E2],
22280 +      }
22281 +    },
22282 +    {
22283 +      AVR32_OPC_EOR3, 4, 0xe1e02200, 0xe1f0fe00,
22284 +      &avr32_syntax_table[AVR32_SYNTAX_EOR3],
22285 +      BFD_RELOC_UNUSED, 4, -1,
22286 +      {
22287 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22288 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22289 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22290 +       &avr32_ifield_table[AVR32_IFIELD_K5E2],
22291 +      }
22292 +    },
22293 +    {
22294 +      AVR32_OPC_EORL, 4, 0xec100000, 0xfff00000,
22295 +      &avr32_syntax_table[AVR32_SYNTAX_EORL],
22296 +      BFD_RELOC_AVR32_16U, 2, 1,
22297 +      {
22298 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22299 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22300 +      },
22301 +    },
22302 +    {
22303 +      AVR32_OPC_EORH, 4, 0xee100000, 0xfff00000,
22304 +      &avr32_syntax_table[AVR32_SYNTAX_EORH],
22305 +      BFD_RELOC_AVR32_16U, 2, 1,
22306 +      {
22307 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22308 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22309 +      },
22310 +    },
22311 +    {
22312 +      AVR32_OPC_FRS, 2, 0xd7430000, 0xffff0000,
22313 +      &avr32_syntax_table[AVR32_SYNTAX_FRS],
22314 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
22315 +    },
22316 +    {
22317 +      AVR32_OPC_ICALL, 2, 0x5d100000, 0xfff00000,
22318 +      &avr32_syntax_table[AVR32_SYNTAX_ICALL],
22319 +      BFD_RELOC_UNUSED, 1, -1,
22320 +      {
22321 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22322 +      },
22323 +    },
22324 +    {
22325 +      AVR32_OPC_INCJOSP, 2, 0xd6830000, 0xff8f0000,
22326 +      &avr32_syntax_table[AVR32_SYNTAX_INCJOSP],
22327 +      BFD_RELOC_UNUSED, 1, -1,
22328 +      {
22329 +       &avr32_ifield_table[AVR32_IFIELD_K3],
22330 +      },
22331 +    },
22332 +    {
22333 +      AVR32_OPC_LD_D1, 2, 0xa1010000, 0xe1f10000,
22334 +      &avr32_syntax_table[AVR32_SYNTAX_LD_D1],
22335 +      BFD_RELOC_UNUSED, 2, -1,
22336 +      {
22337 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
22338 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22339 +      },
22340 +    },
22341 +    {
22342 +      AVR32_OPC_LD_D2, 2, 0xa1100000, 0xe1f10000,
22343 +      &avr32_syntax_table[AVR32_SYNTAX_LD_D2],
22344 +      BFD_RELOC_UNUSED, 2, -1,
22345 +      {
22346 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
22347 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22348 +      },
22349 +    },
22350 +    {
22351 +      AVR32_OPC_LD_D3, 2, 0xa1000000, 0xe1f10000,
22352 +      &avr32_syntax_table[AVR32_SYNTAX_LD_D3],
22353 +      BFD_RELOC_UNUSED, 2, -1,
22354 +      {
22355 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
22356 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22357 +      },
22358 +    },
22359 +    {
22360 +      AVR32_OPC_LD_D5, 4, 0xe0000200, 0xe1f0ffc1,
22361 +      &avr32_syntax_table[AVR32_SYNTAX_LD_D5],
22362 +      BFD_RELOC_UNUSED, 4, -1,
22363 +      {
22364 +       &avr32_ifield_table[AVR32_IFIELD_RD_DW],
22365 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22366 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22367 +       &avr32_ifield_table[AVR32_IFIELD_K2],
22368 +      },
22369 +    },
22370 +    {
22371 +      AVR32_OPC_LD_D4, 4, 0xe0e00000, 0xe1f10000,
22372 +      &avr32_syntax_table[AVR32_SYNTAX_LD_D4],
22373 +      BFD_RELOC_AVR32_16S, 3, 2,
22374 +      {
22375 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
22376 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22377 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22378 +      },
22379 +    },
22380 +    {
22381 +      AVR32_OPC_LD_SB2, 4, 0xe0000600, 0xe1f0ffc0,
22382 +      &avr32_syntax_table[AVR32_SYNTAX_LD_SB2],
22383 +      BFD_RELOC_UNUSED, 4, -1,
22384 +      {
22385 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22386 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22387 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22388 +       &avr32_ifield_table[AVR32_IFIELD_K2],
22389 +      },
22390 +    },
22391 +    {
22392 +      AVR32_OPC_LD_SB1, 4, 0xe1200000, 0xe1f00000,
22393 +      &avr32_syntax_table[AVR32_SYNTAX_LD_SB1],
22394 +      BFD_RELOC_AVR32_16S, 3, -1,
22395 +      {
22396 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22397 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22398 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22399 +      },
22400 +    },
22401 +    {
22402 +      AVR32_OPC_LD_UB1, 2, 0x01300000, 0xe1f00000,
22403 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UB1],
22404 +      BFD_RELOC_UNUSED, 2, -1,
22405 +      {
22406 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22407 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22408 +      },
22409 +    },
22410 +    {
22411 +      AVR32_OPC_LD_UB2, 2, 0x01700000, 0xe1f00000,
22412 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UB2],
22413 +      BFD_RELOC_UNUSED, 2, -1,
22414 +      {
22415 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22416 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22417 +      },
22418 +    },
22419 +    {
22420 +      AVR32_OPC_LD_UB5, 4, 0xe0000700, 0xe1f0ffc0,
22421 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UB5],
22422 +      BFD_RELOC_UNUSED, 4, -1,
22423 +      {
22424 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22425 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22426 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22427 +       &avr32_ifield_table[AVR32_IFIELD_K2],
22428 +      },
22429 +    },
22430 +    {
22431 +      AVR32_OPC_LD_UB3, 2, 0x01800000, 0xe1800000,
22432 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UB3],
22433 +      BFD_RELOC_AVR32_3U, 3, 2,
22434 +      {
22435 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22436 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22437 +       &avr32_ifield_table[AVR32_IFIELD_K3],
22438 +      },
22439 +    },
22440 +    {
22441 +      AVR32_OPC_LD_UB4, 4, 0xe1300000, 0xe1f00000,
22442 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UB4],
22443 +      BFD_RELOC_AVR32_16S, 3, 2,
22444 +      {
22445 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22446 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22447 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22448 +      },
22449 +    },
22450 +    {
22451 +      AVR32_OPC_LD_SH1, 2, 0x01100000, 0xe1f00000,
22452 +      &avr32_syntax_table[AVR32_SYNTAX_LD_SH1],
22453 +      BFD_RELOC_UNUSED, 2, -1,
22454 +      {
22455 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22456 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22457 +      },
22458 +    },
22459 +    {
22460 +      AVR32_OPC_LD_SH2, 2, 0x01500000, 0xe1f00000,
22461 +      &avr32_syntax_table[AVR32_SYNTAX_LD_SH2],
22462 +      BFD_RELOC_UNUSED, 2, -1,
22463 +      {
22464 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22465 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22466 +      },
22467 +    },
22468 +    {
22469 +      AVR32_OPC_LD_SH5, 4, 0xe0000400, 0xe1f0ffc0,
22470 +      &avr32_syntax_table[AVR32_SYNTAX_LD_SH5],
22471 +      BFD_RELOC_UNUSED, 4, -1,
22472 +      {
22473 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22474 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22475 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22476 +       &avr32_ifield_table[AVR32_IFIELD_K2],
22477 +      },
22478 +    },
22479 +    {
22480 +      AVR32_OPC_LD_SH3, 2, 0x80000000, 0xe1800000,
22481 +      &avr32_syntax_table[AVR32_SYNTAX_LD_SH3],
22482 +      BFD_RELOC_AVR32_4UH, 3, 2,
22483 +      {
22484 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22485 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22486 +       &avr32_ifield_table[AVR32_IFIELD_K3],
22487 +      },
22488 +    },
22489 +    {
22490 +      AVR32_OPC_LD_SH4, 4, 0xe1000000, 0xe1f00000,
22491 +      &avr32_syntax_table[AVR32_SYNTAX_LD_SH4],
22492 +      BFD_RELOC_AVR32_16S, 3, 2,
22493 +      {
22494 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22495 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22496 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22497 +      },
22498 +    },
22499 +    {
22500 +      AVR32_OPC_LD_UH1, 2, 0x01200000, 0xe1f00000,
22501 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UH1],
22502 +      BFD_RELOC_UNUSED, 2, -1,
22503 +      {
22504 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22505 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22506 +      },
22507 +    },
22508 +    {
22509 +      AVR32_OPC_LD_UH2, 2, 0x01600000, 0xe1f00000,
22510 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UH2],
22511 +      BFD_RELOC_UNUSED, 2, -1,
22512 +      {
22513 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22514 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22515 +      },
22516 +    },
22517 +    {
22518 +      AVR32_OPC_LD_UH5, 4, 0xe0000500, 0xe1f0ffc0,
22519 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UH5],
22520 +      BFD_RELOC_UNUSED, 4, -1,
22521 +      {
22522 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22523 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22524 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22525 +       &avr32_ifield_table[AVR32_IFIELD_K2],
22526 +      },
22527 +    },
22528 +    {
22529 +      AVR32_OPC_LD_UH3, 2, 0x80800000, 0xe1800000,
22530 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UH3],
22531 +      BFD_RELOC_AVR32_4UH, 3, 2,
22532 +      {
22533 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22534 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22535 +       &avr32_ifield_table[AVR32_IFIELD_K3],
22536 +      },
22537 +    },
22538 +    {
22539 +      AVR32_OPC_LD_UH4, 4, 0xe1100000, 0xe1f00000,
22540 +      &avr32_syntax_table[AVR32_SYNTAX_LD_UH4],
22541 +      BFD_RELOC_AVR32_16S, 3, 2,
22542 +      {
22543 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22544 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22545 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22546 +      },
22547 +    },
22548 +    {
22549 +      AVR32_OPC_LD_W1, 2, 0x01000000, 0xe1f00000,
22550 +      &avr32_syntax_table[AVR32_SYNTAX_LD_W1],
22551 +      BFD_RELOC_UNUSED, 2, -1,
22552 +      {
22553 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22554 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22555 +      },
22556 +    },
22557 +    {
22558 +      AVR32_OPC_LD_W2, 2, 0x01400000, 0xe1f00000,
22559 +      &avr32_syntax_table[AVR32_SYNTAX_LD_W2],
22560 +      BFD_RELOC_UNUSED, 2, -1,
22561 +      {
22562 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22563 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22564 +      },
22565 +    },
22566 +    {
22567 +      AVR32_OPC_LD_W5, 4, 0xe0000300, 0xe1f0ffc0,
22568 +      &avr32_syntax_table[AVR32_SYNTAX_LD_W5],
22569 +      BFD_RELOC_UNUSED, 4, -1,
22570 +      {
22571 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22572 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22573 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22574 +       &avr32_ifield_table[AVR32_IFIELD_K2],
22575 +      },
22576 +    },
22577 +    {
22578 +      AVR32_OPC_LD_W6, 4, 0xe0000f80, 0xe1f0ffc0,
22579 +      &avr32_syntax_table[AVR32_SYNTAX_LD_W6],
22580 +      BFD_RELOC_UNUSED, 4, -1,
22581 +      {
22582 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22583 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22584 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22585 +       &avr32_ifield_table[AVR32_IFIELD_K2],
22586 +      },
22587 +    },
22588 +    {
22589 +      AVR32_OPC_LD_W3, 2, 0x60000000, 0xe0000000,
22590 +      &avr32_syntax_table[AVR32_SYNTAX_LD_W3],
22591 +      BFD_RELOC_AVR32_7UW, 3, 2,
22592 +      {
22593 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22594 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22595 +       &avr32_ifield_table[AVR32_IFIELD_K5C],
22596 +      },
22597 +    },
22598 +    {
22599 +      AVR32_OPC_LD_W4, 4, 0xe0f00000, 0xe1f00000,
22600 +      &avr32_syntax_table[AVR32_SYNTAX_LD_W4],
22601 +      BFD_RELOC_AVR32_16S, 3, 2,
22602 +      {
22603 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22604 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22605 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22606 +      },
22607 +    },
22608 +    {
22609 +      AVR32_OPC_LDC_D1, 4, 0xe9a01000, 0xfff01100,
22610 +      &avr32_syntax_table[AVR32_SYNTAX_LDC_D1],
22611 +      BFD_RELOC_AVR32_10UW, 4, 3,
22612 +      {
22613 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22614 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
22615 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22616 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
22617 +      },
22618 +    },
22619 +    {
22620 +      AVR32_OPC_LDC_D2, 4, 0xefa00050, 0xfff011ff,
22621 +      &avr32_syntax_table[AVR32_SYNTAX_LDC_D2],
22622 +      BFD_RELOC_UNUSED, 3, -1,
22623 +      {
22624 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22625 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
22626 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22627 +      },
22628 +    },
22629 +    {
22630 +      AVR32_OPC_LDC_D3, 4, 0xefa01040, 0xfff011c0,
22631 +      &avr32_syntax_table[AVR32_SYNTAX_LDC_D3],
22632 +      BFD_RELOC_UNUSED, 5, -1,
22633 +      {
22634 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22635 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
22636 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22637 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22638 +       &avr32_ifield_table[AVR32_IFIELD_K2],
22639 +      },
22640 +    },
22641 +    {
22642 +      AVR32_OPC_LDC_W1, 4, 0xe9a00000, 0xfff01000,
22643 +      &avr32_syntax_table[AVR32_SYNTAX_LDC_W1],
22644 +      BFD_RELOC_AVR32_10UW, 4, 3,
22645 +      {
22646 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22647 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
22648 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22649 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
22650 +      },
22651 +    },
22652 +    {
22653 +      AVR32_OPC_LDC_W2, 4, 0xefa00040, 0xfff010ff,
22654 +      &avr32_syntax_table[AVR32_SYNTAX_LDC_W2],
22655 +      BFD_RELOC_UNUSED, 3, -1,
22656 +      {
22657 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22658 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
22659 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22660 +      },
22661 +    },
22662 +    {
22663 +      AVR32_OPC_LDC_W3, 4, 0xefa01000, 0xfff010c0,
22664 +      &avr32_syntax_table[AVR32_SYNTAX_LDC_W3],
22665 +      BFD_RELOC_UNUSED, 5, -1,
22666 +      {
22667 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22668 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
22669 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22670 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22671 +       &avr32_ifield_table[AVR32_IFIELD_K2],
22672 +      },
22673 +    },
22674 +    {
22675 +      AVR32_OPC_LDC0_D, 4, 0xf3a00000, 0xfff00100,
22676 +      &avr32_syntax_table[AVR32_SYNTAX_LDC0_D],
22677 +      BFD_RELOC_AVR32_14UW, 3, 2,
22678 +      {
22679 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
22680 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22681 +       &avr32_ifield_table[AVR32_IFIELD_K12CP],
22682 +      },
22683 +    },
22684 +    {
22685 +      AVR32_OPC_LDC0_W, 4, 0xf1a00000, 0xfff00000,
22686 +      &avr32_syntax_table[AVR32_SYNTAX_LDC0_W],
22687 +      BFD_RELOC_AVR32_14UW, 3, 2,
22688 +      {
22689 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
22690 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22691 +       &avr32_ifield_table[AVR32_IFIELD_K12CP],
22692 +      },
22693 +    },
22694 +    {
22695 +      AVR32_OPC_LDCM_D, 4, 0xeda00400, 0xfff01f00,
22696 +      &avr32_syntax_table[AVR32_SYNTAX_LDCM_D],
22697 +      BFD_RELOC_UNUSED, 3, -1,
22698 +      {
22699 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22700 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22701 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
22702 +      },
22703 +    },
22704 +    {
22705 +      AVR32_OPC_LDCM_D_PU, 4, 0xeda01400, 0xfff01f00,
22706 +      &avr32_syntax_table[AVR32_SYNTAX_LDCM_D_PU],
22707 +      BFD_RELOC_UNUSED, 3, -1,
22708 +      {
22709 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22710 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22711 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
22712 +      },
22713 +    },
22714 +    {
22715 +      AVR32_OPC_LDCM_W, 4, 0xeda00000, 0xfff01e00,
22716 +      &avr32_syntax_table[AVR32_SYNTAX_LDCM_W],
22717 +      BFD_RELOC_UNUSED, 4, -1,
22718 +      {
22719 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22720 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22721 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
22722 +       &avr32_ifield_table[AVR32_IFIELD_CM_HL],
22723 +      },
22724 +    },
22725 +    {
22726 +      AVR32_OPC_LDCM_W_PU, 4, 0xeda01000, 0xfff01e00,
22727 +      &avr32_syntax_table[AVR32_SYNTAX_LDCM_W_PU],
22728 +      BFD_RELOC_UNUSED, 4, -1,
22729 +      {
22730 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
22731 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22732 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
22733 +       &avr32_ifield_table[AVR32_IFIELD_CM_HL],
22734 +      },
22735 +    },
22736 +    {
22737 +      AVR32_OPC_LDDPC, 2, 0x48000000, 0xf8000000,
22738 +      &avr32_syntax_table[AVR32_SYNTAX_LDDPC],
22739 +      BFD_RELOC_AVR32_9UW_PCREL, 2, 1,
22740 +      {
22741 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22742 +       &avr32_ifield_table[AVR32_IFIELD_K7C],
22743 +      },
22744 +    },
22745 +    {
22746 +      AVR32_OPC_LDDPC_EXT, 4, 0xfef00000, 0xfff00000,
22747 +      &avr32_syntax_table[AVR32_SYNTAX_LDDPC_EXT],
22748 +      BFD_RELOC_AVR32_16B_PCREL, 2, 1,
22749 +      {
22750 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22751 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22752 +      },
22753 +    },
22754 +    {
22755 +      AVR32_OPC_LDDSP, 2, 0x40000000, 0xf8000000,
22756 +      &avr32_syntax_table[AVR32_SYNTAX_LDDSP],
22757 +      BFD_RELOC_UNUSED, 2, -1,
22758 +      {
22759 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22760 +       &avr32_ifield_table[AVR32_IFIELD_K7C],
22761 +      },
22762 +    },
22763 +    {
22764 +      AVR32_OPC_LDINS_B, 4, 0xe1d04000, 0xe1f0c000,
22765 +      &avr32_syntax_table[AVR32_SYNTAX_LDINS_B],
22766 +      BFD_RELOC_UNUSED, 4, -1,
22767 +      {
22768 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22769 +       &avr32_ifield_table[AVR32_IFIELD_PART2_K12],
22770 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22771 +       &avr32_ifield_table[AVR32_IFIELD_K12],
22772 +      },
22773 +    },
22774 +    {
22775 +      AVR32_OPC_LDINS_H, 4, 0xe1d00000, 0xe1f0e000,
22776 +      &avr32_syntax_table[AVR32_SYNTAX_LDINS_H],
22777 +      BFD_RELOC_UNUSED, 4, -1,
22778 +      {
22779 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22780 +       &avr32_ifield_table[AVR32_IFIELD_PART1_K12],
22781 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22782 +       &avr32_ifield_table[AVR32_IFIELD_K12],
22783 +      },
22784 +    },
22785 +    {
22786 +      AVR32_OPC_LDM, 4, 0xe1c00000, 0xfdf00000,
22787 +      &avr32_syntax_table[AVR32_SYNTAX_LDM],
22788 +      BFD_RELOC_UNUSED, 3, -1,
22789 +      {
22790 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22791 +       &avr32_ifield_table[AVR32_IFIELD_W],
22792 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22793 +      },
22794 +    },
22795 +    {
22796 +      AVR32_OPC_LDMTS, 4, 0xe5c00000, 0xfff00000,
22797 +      &avr32_syntax_table[AVR32_SYNTAX_LDMTS],
22798 +      BFD_RELOC_UNUSED, 2, -1,
22799 +      {
22800 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22801 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22802 +      },
22803 +    },
22804 +    {
22805 +      AVR32_OPC_LDMTS_PU, 4, 0xe7c00000, 0xfff00000,
22806 +      &avr32_syntax_table[AVR32_SYNTAX_LDMTS_PU],
22807 +      BFD_RELOC_UNUSED, 2, -1,
22808 +      {
22809 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22810 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22811 +      },
22812 +    },
22813 +    {
22814 +      AVR32_OPC_LDSWP_SH, 4, 0xe1d02000, 0xe1f0f000,
22815 +      &avr32_syntax_table[AVR32_SYNTAX_LDSWP_SH],
22816 +      BFD_RELOC_UNUSED, 3, -1,
22817 +      {
22818 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22819 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22820 +       &avr32_ifield_table[AVR32_IFIELD_K12],
22821 +      },
22822 +    },
22823 +    {
22824 +      AVR32_OPC_LDSWP_UH, 4, 0xe1d03000, 0xe1f0f000,
22825 +      &avr32_syntax_table[AVR32_SYNTAX_LDSWP_UH],
22826 +      BFD_RELOC_UNUSED, 3, -1,
22827 +      {
22828 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22829 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22830 +       &avr32_ifield_table[AVR32_IFIELD_K12],
22831 +      },
22832 +    },
22833 +    {
22834 +      AVR32_OPC_LDSWP_W, 4, 0xe1d08000, 0xe1f0f000,
22835 +      &avr32_syntax_table[AVR32_SYNTAX_LDSWP_W],
22836 +      BFD_RELOC_UNUSED, 3, -1,
22837 +      {
22838 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22839 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22840 +       &avr32_ifield_table[AVR32_IFIELD_K12],
22841 +      },
22842 +    },
22843 +    {
22844 +      AVR32_OPC_LSL1, 4, 0xe0000940, 0xe1f0fff0,
22845 +      &avr32_syntax_table[AVR32_SYNTAX_LSL1],
22846 +      BFD_RELOC_UNUSED, 3, -1,
22847 +      {
22848 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22849 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22850 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22851 +      },
22852 +    },
22853 +    {
22854 +      AVR32_OPC_LSL3, 4, 0xe0001500, 0xe1f0ffe0,
22855 +      &avr32_syntax_table[AVR32_SYNTAX_LSL3],
22856 +      BFD_RELOC_UNUSED, 3, -1,
22857 +      {
22858 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22859 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22860 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
22861 +      },
22862 +    },
22863 +    {
22864 +      AVR32_OPC_LSL2, 2, 0xa1600000, 0xe1e00000,
22865 +      &avr32_syntax_table[AVR32_SYNTAX_LSL2],
22866 +      BFD_RELOC_UNUSED, 2, -1,
22867 +      {
22868 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22869 +       &avr32_ifield_table[AVR32_IFIELD_BIT5C],
22870 +      },
22871 +    },
22872 +    {
22873 +      AVR32_OPC_LSR1, 4, 0xe0000a40, 0xe1f0fff0,
22874 +      &avr32_syntax_table[AVR32_SYNTAX_LSR1],
22875 +      BFD_RELOC_UNUSED, 3, -1,
22876 +      {
22877 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22878 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22879 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22880 +      },
22881 +    },
22882 +    {
22883 +      AVR32_OPC_LSR3, 4, 0xe0001600, 0xe1f0ffe0,
22884 +      &avr32_syntax_table[AVR32_SYNTAX_LSR3],
22885 +      BFD_RELOC_UNUSED, 3, -1,
22886 +      {
22887 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22888 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22889 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
22890 +      },
22891 +    },
22892 +    {
22893 +      AVR32_OPC_LSR2, 2, 0xa1800000, 0xe1e00000,
22894 +      &avr32_syntax_table[AVR32_SYNTAX_LSR2],
22895 +      BFD_RELOC_UNUSED, 2, -1,
22896 +      {
22897 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22898 +       &avr32_ifield_table[AVR32_IFIELD_BIT5C],
22899 +      },
22900 +    },
22901 +    {
22902 +      AVR32_OPC_MAC, 4, 0xe0000340, 0xe1f0fff0,
22903 +      &avr32_syntax_table[AVR32_SYNTAX_MAC],
22904 +      BFD_RELOC_UNUSED, 3, -1,
22905 +      {
22906 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22907 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22908 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22909 +      },
22910 +    },
22911 +    {
22912 +      AVR32_OPC_MACHH_D, 4, 0xe0000580, 0xe1f0ffc1,
22913 +      &avr32_syntax_table[AVR32_SYNTAX_MACHH_D],
22914 +      BFD_RELOC_UNUSED, 5, -1,
22915 +      {
22916 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22917 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22918 +       &avr32_ifield_table[AVR32_IFIELD_X],
22919 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22920 +       &avr32_ifield_table[AVR32_IFIELD_Y],
22921 +      },
22922 +    },
22923 +    {
22924 +      AVR32_OPC_MACHH_W, 4, 0xe0000480, 0xe1f0ffc0,
22925 +      &avr32_syntax_table[AVR32_SYNTAX_MACHH_W],
22926 +      BFD_RELOC_UNUSED, 5, -1,
22927 +      {
22928 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22929 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22930 +       &avr32_ifield_table[AVR32_IFIELD_X],
22931 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22932 +       &avr32_ifield_table[AVR32_IFIELD_Y],
22933 +      },
22934 +    },
22935 +    {
22936 +      AVR32_OPC_MACS_D, 4, 0xe0000540, 0xe1f0fff1,
22937 +      &avr32_syntax_table[AVR32_SYNTAX_MACS_D],
22938 +      BFD_RELOC_UNUSED, 3, -1,
22939 +      {
22940 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22941 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22942 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22943 +      },
22944 +    },
22945 +    {
22946 +      AVR32_OPC_MACSATHH_W, 4, 0xe0000680, 0xe1f0ffc0,
22947 +      &avr32_syntax_table[AVR32_SYNTAX_MACSATHH_W],
22948 +      BFD_RELOC_UNUSED, 5, -1,
22949 +      {
22950 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22951 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22952 +       &avr32_ifield_table[AVR32_IFIELD_X],
22953 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22954 +       &avr32_ifield_table[AVR32_IFIELD_Y],
22955 +      },
22956 +    },
22957 +    {
22958 +      AVR32_OPC_MACUD, 4, 0xe0000740, 0xe1f0fff1,
22959 +      &avr32_syntax_table[AVR32_SYNTAX_MACUD],
22960 +      BFD_RELOC_UNUSED, 3, -1,
22961 +      {
22962 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22963 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22964 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22965 +      },
22966 +    },
22967 +    {
22968 +      AVR32_OPC_MACWH_D, 4, 0xe0000c80, 0xe1f0ffe1,
22969 +      &avr32_syntax_table[AVR32_SYNTAX_MACWH_D],
22970 +      BFD_RELOC_UNUSED, 4, -1,
22971 +      {
22972 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22973 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22974 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22975 +       &avr32_ifield_table[AVR32_IFIELD_Y],
22976 +      },
22977 +    },
22978 +    {
22979 +      AVR32_OPC_MAX, 4, 0xe0000c40, 0xe1f0fff0,
22980 +      &avr32_syntax_table[AVR32_SYNTAX_MAX],
22981 +      BFD_RELOC_UNUSED, 3, -1,
22982 +      {
22983 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
22984 +       &avr32_ifield_table[AVR32_IFIELD_RX],
22985 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22986 +      },
22987 +    },
22988 +    {
22989 +      AVR32_OPC_MCALL, 4, 0xf0100000, 0xfff00000,
22990 +      &avr32_syntax_table[AVR32_SYNTAX_MCALL],
22991 +      BFD_RELOC_AVR32_18W_PCREL, 2, 1,
22992 +      {
22993 +       &avr32_ifield_table[AVR32_IFIELD_RY],
22994 +       &avr32_ifield_table[AVR32_IFIELD_K16],
22995 +      },
22996 +    },
22997 +    {
22998 +      AVR32_OPC_MFDR, 4, 0xe5b00000, 0xfff0ff00,
22999 +      &avr32_syntax_table[AVR32_SYNTAX_MFDR],
23000 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23001 +      {
23002 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23003 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23004 +      },
23005 +    },
23006 +    {
23007 +      AVR32_OPC_MFSR, 4, 0xe1b00000, 0xfff0ff00,
23008 +      &avr32_syntax_table[AVR32_SYNTAX_MFSR],
23009 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23010 +      {
23011 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23012 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23013 +      },
23014 +    },
23015 +    {
23016 +      AVR32_OPC_MIN, 4, 0xe0000d40, 0xe1f0fff0,
23017 +      &avr32_syntax_table[AVR32_SYNTAX_MIN],
23018 +      BFD_RELOC_UNUSED, 3, -1,
23019 +      {
23020 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23021 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23022 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23023 +      },
23024 +    },
23025 +    {
23026 +      AVR32_OPC_MOV3, 2, 0x00900000, 0xe1f00000,
23027 +      &avr32_syntax_table[AVR32_SYNTAX_MOV3],
23028 +      BFD_RELOC_NONE, 2, -1,
23029 +      {
23030 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23031 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23032 +      },
23033 +    },
23034 +    {
23035 +      AVR32_OPC_MOV1, 2, 0x30000000, 0xf0000000,
23036 +      &avr32_syntax_table[AVR32_SYNTAX_MOV1],
23037 +      BFD_RELOC_AVR32_8S, 2, 1,
23038 +      {
23039 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23040 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
23041 +      },
23042 +    },
23043 +    {
23044 +      AVR32_OPC_MOV2, 4, 0xe0600000, 0xe1e00000,
23045 +      &avr32_syntax_table[AVR32_SYNTAX_MOV2],
23046 +      BFD_RELOC_AVR32_21S, 2, 1,
23047 +      {
23048 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23049 +       &avr32_ifield_table[AVR32_IFIELD_K21],
23050 +      },
23051 +    },
23052 +    {
23053 +      AVR32_OPC_MOVEQ1, 4, 0xe0001700, 0xe1f0ffff,
23054 +      &avr32_syntax_table[AVR32_SYNTAX_MOVEQ1],
23055 +      BFD_RELOC_UNUSED, 2, -1,
23056 +      {
23057 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23058 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23059 +      },
23060 +    },
23061 +    {
23062 +      AVR32_OPC_MOVNE1, 4, 0xe0001710, 0xe1f0ffff,
23063 +      &avr32_syntax_table[AVR32_SYNTAX_MOVNE1],
23064 +      BFD_RELOC_UNUSED, 2, -1,
23065 +      {
23066 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23067 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23068 +      },
23069 +    },
23070 +    {
23071 +      AVR32_OPC_MOVCC1, 4, 0xe0001720, 0xe1f0ffff,
23072 +      &avr32_syntax_table[AVR32_SYNTAX_MOVHS1],
23073 +      BFD_RELOC_UNUSED, 2, -1,
23074 +      {
23075 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23076 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23077 +      },
23078 +    },
23079 +    {
23080 +      AVR32_OPC_MOVCS1, 4, 0xe0001730, 0xe1f0ffff,
23081 +      &avr32_syntax_table[AVR32_SYNTAX_MOVLO1],
23082 +      BFD_RELOC_UNUSED, 2, -1,
23083 +      {
23084 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23085 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23086 +      },
23087 +    },
23088 +    {
23089 +      AVR32_OPC_MOVGE1, 4, 0xe0001740, 0xe1f0ffff,
23090 +      &avr32_syntax_table[AVR32_SYNTAX_MOVGE1],
23091 +      BFD_RELOC_UNUSED, 2, -1,
23092 +      {
23093 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23094 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23095 +      },
23096 +    },
23097 +    {
23098 +      AVR32_OPC_MOVLT1, 4, 0xe0001750, 0xe1f0ffff,
23099 +      &avr32_syntax_table[AVR32_SYNTAX_MOVLT1],
23100 +      BFD_RELOC_UNUSED, 2, -1,
23101 +      {
23102 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23103 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23104 +      },
23105 +    },
23106 +    {
23107 +      AVR32_OPC_MOVMI1, 4, 0xe0001760, 0xe1f0ffff,
23108 +      &avr32_syntax_table[AVR32_SYNTAX_MOVMI1],
23109 +      BFD_RELOC_UNUSED, 2, -1,
23110 +      {
23111 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23112 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23113 +      },
23114 +    },
23115 +    {
23116 +      AVR32_OPC_MOVPL1, 4, 0xe0001770, 0xe1f0ffff,
23117 +      &avr32_syntax_table[AVR32_SYNTAX_MOVPL1],
23118 +      BFD_RELOC_UNUSED, 2, -1,
23119 +      {
23120 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23121 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23122 +      },
23123 +    },
23124 +    {
23125 +      AVR32_OPC_MOVLS1, 4, 0xe0001780, 0xe1f0ffff,
23126 +      &avr32_syntax_table[AVR32_SYNTAX_MOVLS1],
23127 +      BFD_RELOC_UNUSED, 2, -1,
23128 +      {
23129 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23130 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23131 +      },
23132 +    },
23133 +    {
23134 +      AVR32_OPC_MOVGT1, 4, 0xe0001790, 0xe1f0ffff,
23135 +      &avr32_syntax_table[AVR32_SYNTAX_MOVGT1],
23136 +      BFD_RELOC_UNUSED, 2, -1,
23137 +      {
23138 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23139 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23140 +      },
23141 +    },
23142 +    {
23143 +      AVR32_OPC_MOVLE1, 4, 0xe00017a0, 0xe1f0ffff,
23144 +      &avr32_syntax_table[AVR32_SYNTAX_MOVLE1],
23145 +      BFD_RELOC_UNUSED, 2, -1,
23146 +      {
23147 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23148 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23149 +      },
23150 +    },
23151 +    {
23152 +      AVR32_OPC_MOVHI1, 4, 0xe00017b0, 0xe1f0ffff,
23153 +      &avr32_syntax_table[AVR32_SYNTAX_MOVHI1],
23154 +      BFD_RELOC_UNUSED, 2, -1,
23155 +      {
23156 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23157 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23158 +      },
23159 +    },
23160 +    {
23161 +      AVR32_OPC_MOVVS1, 4, 0xe00017c0, 0xe1f0ffff,
23162 +      &avr32_syntax_table[AVR32_SYNTAX_MOVVS1],
23163 +      BFD_RELOC_UNUSED, 2, -1,
23164 +      {
23165 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23166 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23167 +      },
23168 +    },
23169 +    {
23170 +      AVR32_OPC_MOVVC1, 4, 0xe00017d0, 0xe1f0ffff,
23171 +      &avr32_syntax_table[AVR32_SYNTAX_MOVVC1],
23172 +      BFD_RELOC_UNUSED, 2, -1,
23173 +      {
23174 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23175 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23176 +      },
23177 +    },
23178 +    {
23179 +      AVR32_OPC_MOVQS1, 4, 0xe00017e0, 0xe1f0ffff,
23180 +      &avr32_syntax_table[AVR32_SYNTAX_MOVQS1],
23181 +      BFD_RELOC_UNUSED, 2, -1,
23182 +      {
23183 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23184 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23185 +      },
23186 +    },
23187 +    {
23188 +      AVR32_OPC_MOVAL1, 4, 0xe00017f0, 0xe1f0ffff,
23189 +      &avr32_syntax_table[AVR32_SYNTAX_MOVAL1],
23190 +      BFD_RELOC_UNUSED, 2, -1,
23191 +      {
23192 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23193 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23194 +      },
23195 +    },
23196 +    {
23197 +      AVR32_OPC_MOVEQ2, 4, 0xf9b00000, 0xfff0ff00,
23198 +      &avr32_syntax_table[AVR32_SYNTAX_MOVEQ2],
23199 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23200 +      {
23201 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23202 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23203 +      },
23204 +    },
23205 +    {
23206 +      AVR32_OPC_MOVNE2, 4, 0xf9b00100, 0xfff0ff00,
23207 +      &avr32_syntax_table[AVR32_SYNTAX_MOVNE2],
23208 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23209 +      {
23210 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23211 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23212 +      },
23213 +    },
23214 +    {
23215 +      AVR32_OPC_MOVCC2, 4, 0xf9b00200, 0xfff0ff00,
23216 +      &avr32_syntax_table[AVR32_SYNTAX_MOVHS2],
23217 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23218 +      {
23219 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23220 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23221 +      },
23222 +    },
23223 +    {
23224 +      AVR32_OPC_MOVCS2, 4, 0xf9b00300, 0xfff0ff00,
23225 +      &avr32_syntax_table[AVR32_SYNTAX_MOVLO2],
23226 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23227 +      {
23228 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23229 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23230 +      },
23231 +    },
23232 +    {
23233 +      AVR32_OPC_MOVGE2, 4, 0xf9b00400, 0xfff0ff00,
23234 +      &avr32_syntax_table[AVR32_SYNTAX_MOVGE2],
23235 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23236 +      {
23237 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23238 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23239 +      },
23240 +    },
23241 +    {
23242 +      AVR32_OPC_MOVLT2, 4, 0xf9b00500, 0xfff0ff00,
23243 +      &avr32_syntax_table[AVR32_SYNTAX_MOVLT2],
23244 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23245 +      {
23246 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23247 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23248 +      },
23249 +    },
23250 +    {
23251 +      AVR32_OPC_MOVMI2, 4, 0xf9b00600, 0xfff0ff00,
23252 +      &avr32_syntax_table[AVR32_SYNTAX_MOVMI2],
23253 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23254 +      {
23255 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23256 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23257 +      },
23258 +    },
23259 +    {
23260 +      AVR32_OPC_MOVPL2, 4, 0xf9b00700, 0xfff0ff00,
23261 +      &avr32_syntax_table[AVR32_SYNTAX_MOVPL2],
23262 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23263 +      {
23264 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23265 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23266 +      },
23267 +    },
23268 +    {
23269 +      AVR32_OPC_MOVLS2, 4, 0xf9b00800, 0xfff0ff00,
23270 +      &avr32_syntax_table[AVR32_SYNTAX_MOVLS2],
23271 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23272 +      {
23273 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23274 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23275 +      },
23276 +    },
23277 +    {
23278 +      AVR32_OPC_MOVGT2, 4, 0xf9b00900, 0xfff0ff00,
23279 +      &avr32_syntax_table[AVR32_SYNTAX_MOVGT2],
23280 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23281 +      {
23282 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23283 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23284 +      },
23285 +    },
23286 +    {
23287 +      AVR32_OPC_MOVLE2, 4, 0xf9b00a00, 0xfff0ff00,
23288 +      &avr32_syntax_table[AVR32_SYNTAX_MOVLE2],
23289 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23290 +      {
23291 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23292 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23293 +      },
23294 +    },
23295 +    {
23296 +      AVR32_OPC_MOVHI2, 4, 0xf9b00b00, 0xfff0ff00,
23297 +      &avr32_syntax_table[AVR32_SYNTAX_MOVHI2],
23298 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23299 +      {
23300 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23301 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23302 +      },
23303 +    },
23304 +    {
23305 +      AVR32_OPC_MOVVS2, 4, 0xf9b00c00, 0xfff0ff00,
23306 +      &avr32_syntax_table[AVR32_SYNTAX_MOVVS2],
23307 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23308 +      {
23309 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23310 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23311 +      },
23312 +    },
23313 +    {
23314 +      AVR32_OPC_MOVVC2, 4, 0xf9b00d00, 0xfff0ff00,
23315 +      &avr32_syntax_table[AVR32_SYNTAX_MOVVC2],
23316 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23317 +      {
23318 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23319 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23320 +      },
23321 +    },
23322 +    {
23323 +      AVR32_OPC_MOVQS2, 4, 0xf9b00e00, 0xfff0ff00,
23324 +      &avr32_syntax_table[AVR32_SYNTAX_MOVQS2],
23325 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23326 +      {
23327 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23328 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23329 +      },
23330 +    },
23331 +    {
23332 +      AVR32_OPC_MOVAL2, 4, 0xf9b00f00, 0xfff0ff00,
23333 +      &avr32_syntax_table[AVR32_SYNTAX_MOVAL2],
23334 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
23335 +      {
23336 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23337 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23338 +      },
23339 +    },
23340 +    {
23341 +      AVR32_OPC_MTDR, 4, 0xe7b00000, 0xfff0ff00,
23342 +      &avr32_syntax_table[AVR32_SYNTAX_MTDR],
23343 +      BFD_RELOC_AVR32_8S_EXT, 2, 0,
23344 +      {
23345 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23346 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23347 +      },
23348 +    },
23349 +    {
23350 +      AVR32_OPC_MTSR, 4, 0xe3b00000, 0xfff0ff00,
23351 +      &avr32_syntax_table[AVR32_SYNTAX_MTSR],
23352 +      BFD_RELOC_AVR32_8S_EXT, 2, 0,
23353 +      {
23354 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23355 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23356 +      },
23357 +    },
23358 +    {
23359 +      AVR32_OPC_MUL1, 2, 0xa1300000, 0xe1f00000,
23360 +      &avr32_syntax_table[AVR32_SYNTAX_MUL1],
23361 +      BFD_RELOC_UNUSED, 2, -1,
23362 +      {
23363 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23364 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23365 +      },
23366 +    },
23367 +    {
23368 +      AVR32_OPC_MUL2, 4, 0xe0000240, 0xe1f0fff0,
23369 +      &avr32_syntax_table[AVR32_SYNTAX_MUL2],
23370 +      BFD_RELOC_UNUSED, 3, -1,
23371 +      {
23372 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23373 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23374 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23375 +      },
23376 +    },
23377 +    {
23378 +      AVR32_OPC_MUL3, 4, 0xe0001000, 0xe1f0ff00,
23379 +      &avr32_syntax_table[AVR32_SYNTAX_MUL3],
23380 +      BFD_RELOC_AVR32_8S_EXT, 3, 2,
23381 +      {
23382 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23383 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23384 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
23385 +      },
23386 +    },
23387 +    {
23388 +      AVR32_OPC_MULHH_W, 4, 0xe0000780, 0xe1f0ffc0,
23389 +      &avr32_syntax_table[AVR32_SYNTAX_MULHH_W],
23390 +      BFD_RELOC_UNUSED, 5, -1,
23391 +      {
23392 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23393 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23394 +       &avr32_ifield_table[AVR32_IFIELD_X],
23395 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23396 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23397 +      },
23398 +    },
23399 +    {
23400 +      AVR32_OPC_MULNHH_W, 4, 0xe0000180, 0xe1f0ffc0,
23401 +      &avr32_syntax_table[AVR32_SYNTAX_MULNHH_W],
23402 +      BFD_RELOC_UNUSED, 5, -1,
23403 +      {
23404 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23405 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23406 +       &avr32_ifield_table[AVR32_IFIELD_X],
23407 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23408 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23409 +      },
23410 +    },
23411 +    {
23412 +      AVR32_OPC_MULNWH_D, 4, 0xe0000280, 0xe1f0ffe1,
23413 +      &avr32_syntax_table[AVR32_SYNTAX_MULNWH_D],
23414 +      BFD_RELOC_UNUSED, 4, -1,
23415 +      {
23416 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23417 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23418 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23419 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23420 +      },
23421 +    },
23422 +    {
23423 +      AVR32_OPC_MULSD, 4, 0xe0000440, 0xe1f0fff0,
23424 +      &avr32_syntax_table[AVR32_SYNTAX_MULSD],
23425 +      BFD_RELOC_UNUSED, 3, -1,
23426 +      {
23427 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23428 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23429 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23430 +      },
23431 +    },
23432 +    {
23433 +      AVR32_OPC_MULSATHH_H, 4, 0xe0000880, 0xe1f0ffc0,
23434 +      &avr32_syntax_table[AVR32_SYNTAX_MULSATHH_H],
23435 +      BFD_RELOC_UNUSED, 5, -1,
23436 +      {
23437 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23438 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23439 +       &avr32_ifield_table[AVR32_IFIELD_X],
23440 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23441 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23442 +      },
23443 +    },
23444 +    {
23445 +      AVR32_OPC_MULSATHH_W, 4, 0xe0000980, 0xe1f0ffc0,
23446 +      &avr32_syntax_table[AVR32_SYNTAX_MULSATHH_W],
23447 +      BFD_RELOC_UNUSED, 5, -1,
23448 +      {
23449 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23450 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23451 +       &avr32_ifield_table[AVR32_IFIELD_X],
23452 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23453 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23454 +      },
23455 +    },
23456 +    {
23457 +      AVR32_OPC_MULSATRNDHH_H, 4, 0xe0000a80, 0xe1f0ffc0,
23458 +      &avr32_syntax_table[AVR32_SYNTAX_MULSATRNDHH_H],
23459 +      BFD_RELOC_UNUSED, 5, -1,
23460 +      {
23461 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23462 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23463 +       &avr32_ifield_table[AVR32_IFIELD_X],
23464 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23465 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23466 +      },
23467 +    },
23468 +    {
23469 +      AVR32_OPC_MULSATRNDWH_W, 4, 0xe0000b80, 0xe1f0ffe0,
23470 +      &avr32_syntax_table[AVR32_SYNTAX_MULSATRNDWH_W],
23471 +      BFD_RELOC_UNUSED, 4, -1,
23472 +      {
23473 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23474 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23475 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23476 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23477 +      },
23478 +    },
23479 +    {
23480 +      AVR32_OPC_MULSATWH_W, 4, 0xe0000e80, 0xe1f0ffe0,
23481 +      &avr32_syntax_table[AVR32_SYNTAX_MULSATWH_W],
23482 +      BFD_RELOC_UNUSED, 4, -1,
23483 +      {
23484 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23485 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23486 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23487 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23488 +      },
23489 +    },
23490 +    {
23491 +      AVR32_OPC_MULU_D, 4, 0xe0000640, 0xe1f0fff1,
23492 +      &avr32_syntax_table[AVR32_SYNTAX_MULU_D],
23493 +      BFD_RELOC_UNUSED, 3, -1,
23494 +      {
23495 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23496 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23497 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23498 +      },
23499 +    },
23500 +    {
23501 +      AVR32_OPC_MULWH_D, 4, 0xe0000d80, 0xe1f0ffe1,
23502 +      &avr32_syntax_table[AVR32_SYNTAX_MULWH_D],
23503 +      BFD_RELOC_UNUSED, 4, -1,
23504 +      {
23505 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23506 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23507 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23508 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23509 +      },
23510 +    },
23511 +    {
23512 +      AVR32_OPC_MUSFR, 2, 0x5d300000, 0xfff00000,
23513 +      &avr32_syntax_table[AVR32_SYNTAX_MUSFR],
23514 +      BFD_RELOC_UNUSED, 1, -1,
23515 +      {
23516 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23517 +      }
23518 +    },
23519 +    {
23520 +      AVR32_OPC_MUSTR, 2, 0x5d200000, 0xfff00000,
23521 +      &avr32_syntax_table[AVR32_SYNTAX_MUSTR],
23522 +      BFD_RELOC_UNUSED, 1, -1,
23523 +      {
23524 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23525 +      }
23526 +    },
23527 +    {
23528 +      AVR32_OPC_MVCR_D, 4, 0xefa00010, 0xfff111ff,
23529 +      &avr32_syntax_table[AVR32_SYNTAX_MVCR_D],
23530 +      BFD_RELOC_UNUSED, 3, -1,
23531 +      {
23532 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
23533 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
23534 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
23535 +      },
23536 +    },
23537 +    {
23538 +      AVR32_OPC_MVCR_W, 4, 0xefa00000, 0xfff010ff,
23539 +      &avr32_syntax_table[AVR32_SYNTAX_MVCR_W],
23540 +      BFD_RELOC_UNUSED, 3, -1,
23541 +      {
23542 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
23543 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23544 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
23545 +      },
23546 +    },
23547 +    {
23548 +      AVR32_OPC_MVRC_D, 4, 0xefa00030, 0xfff111ff,
23549 +      &avr32_syntax_table[AVR32_SYNTAX_MVRC_D],
23550 +      BFD_RELOC_UNUSED, 3, -1,
23551 +      {
23552 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
23553 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
23554 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
23555 +      },
23556 +    },
23557 +    {
23558 +      AVR32_OPC_MVRC_W, 4, 0xefa00020, 0xfff010ff,
23559 +      &avr32_syntax_table[AVR32_SYNTAX_MVRC_W],
23560 +      BFD_RELOC_UNUSED, 3, -1,
23561 +      {
23562 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
23563 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
23564 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23565 +      },
23566 +    },
23567 +    {
23568 +      AVR32_OPC_NEG, 2, 0x5c300000, 0xfff00000,
23569 +      &avr32_syntax_table[AVR32_SYNTAX_NEG],
23570 +      BFD_RELOC_UNUSED, 1, -1,
23571 +      {
23572 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23573 +      }
23574 +    },
23575 +    {
23576 +      AVR32_OPC_NOP, 2, 0xd7030000, 0xffff0000,
23577 +      &avr32_syntax_table[AVR32_SYNTAX_NOP],
23578 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
23579 +    },
23580 +    {
23581 +      AVR32_OPC_OR1, 2, 0x00400000, 0xe1f00000,
23582 +      &avr32_syntax_table[AVR32_SYNTAX_OR1],
23583 +      BFD_RELOC_UNUSED, 2, -1,
23584 +      {
23585 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23586 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23587 +      },
23588 +    },
23589 +    {
23590 +      AVR32_OPC_OR2, 4, 0xe1e01000, 0xe1f0fe00,
23591 +      &avr32_syntax_table[AVR32_SYNTAX_OR2],
23592 +      BFD_RELOC_UNUSED, 4, -1,
23593 +      {
23594 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23595 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23596 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23597 +       &avr32_ifield_table[AVR32_IFIELD_K5E2],
23598 +      },
23599 +    },
23600 +    {
23601 +      AVR32_OPC_OR3, 4, 0xe1e01200, 0xe1f0fe00,
23602 +      &avr32_syntax_table[AVR32_SYNTAX_OR3],
23603 +      BFD_RELOC_UNUSED, 4, -1,
23604 +      {
23605 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23606 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23607 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23608 +       &avr32_ifield_table[AVR32_IFIELD_K5E2],
23609 +      },
23610 +    },
23611 +    {
23612 +      AVR32_OPC_ORH, 4, 0xea100000, 0xfff00000,
23613 +      &avr32_syntax_table[AVR32_SYNTAX_ORH],
23614 +      BFD_RELOC_AVR32_16U, 2, 1,
23615 +      {
23616 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23617 +       &avr32_ifield_table[AVR32_IFIELD_K16],
23618 +      },
23619 +    },
23620 +    {
23621 +      AVR32_OPC_ORL, 4, 0xe8100000, 0xfff00000,
23622 +      &avr32_syntax_table[AVR32_SYNTAX_ORL],
23623 +      BFD_RELOC_AVR32_16U, 2, 1,
23624 +      {
23625 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23626 +       &avr32_ifield_table[AVR32_IFIELD_K16],
23627 +      },
23628 +    },
23629 +    {
23630 +      AVR32_OPC_PABS_SB, 4, 0xe00023e0, 0xfff0fff0,
23631 +      &avr32_syntax_table[AVR32_SYNTAX_PABS_SB],
23632 +      BFD_RELOC_UNUSED, 2, -1,
23633 +      {
23634 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23635 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23636 +      },
23637 +    },
23638 +    {
23639 +      AVR32_OPC_PABS_SH, 4, 0xe00023f0, 0xfff0fff0,
23640 +      &avr32_syntax_table[AVR32_SYNTAX_PABS_SH],
23641 +      BFD_RELOC_UNUSED, 2, -1,
23642 +      {
23643 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23644 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23645 +      },
23646 +    },
23647 +    {
23648 +      AVR32_OPC_PACKSH_SB, 4, 0xe00024d0, 0xe1f0fff0,
23649 +      &avr32_syntax_table[AVR32_SYNTAX_PACKSH_SB],
23650 +      BFD_RELOC_UNUSED, 3, -1,
23651 +      {
23652 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23653 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23654 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23655 +      },
23656 +    },
23657 +    {
23658 +      AVR32_OPC_PACKSH_UB, 4, 0xe00024c0, 0xe1f0fff0,
23659 +      &avr32_syntax_table[AVR32_SYNTAX_PACKSH_UB],
23660 +      BFD_RELOC_UNUSED, 3, -1,
23661 +      {
23662 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23663 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23664 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23665 +      },
23666 +    },
23667 +    {
23668 +      AVR32_OPC_PACKW_SH, 4, 0xe0002470, 0xe1f0fff0,
23669 +      &avr32_syntax_table[AVR32_SYNTAX_PACKW_SH],
23670 +      BFD_RELOC_UNUSED, 3, -1,
23671 +      {
23672 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23673 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23674 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23675 +      },
23676 +    },
23677 +    {
23678 +      AVR32_OPC_PADD_B, 4, 0xe0002300, 0xe1f0fff0,
23679 +      &avr32_syntax_table[AVR32_SYNTAX_PADD_B],
23680 +      BFD_RELOC_UNUSED, 3, -1,
23681 +      {
23682 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23683 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23684 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23685 +      },
23686 +    },
23687 +    {
23688 +      AVR32_OPC_PADD_H, 4, 0xe0002000, 0xe1f0fff0,
23689 +      &avr32_syntax_table[AVR32_SYNTAX_PADD_H],
23690 +      BFD_RELOC_UNUSED, 3, -1,
23691 +      {
23692 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23693 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23694 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23695 +      },
23696 +    },
23697 +    {
23698 +      AVR32_OPC_PADDH_SH, 4, 0xe00020c0, 0xe1f0fff0,
23699 +      &avr32_syntax_table[AVR32_SYNTAX_PADDH_SH],
23700 +      BFD_RELOC_UNUSED, 3, -1,
23701 +      {
23702 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23703 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23704 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23705 +      },
23706 +    },
23707 +    {
23708 +      AVR32_OPC_PADDH_UB, 4, 0xe0002360, 0xe1f0fff0,
23709 +      &avr32_syntax_table[AVR32_SYNTAX_PADDH_UB],
23710 +      BFD_RELOC_UNUSED, 3, -1,
23711 +      {
23712 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23713 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23714 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23715 +      },
23716 +    },
23717 +    {
23718 +      AVR32_OPC_PADDS_SB, 4, 0xe0002320, 0xe1f0fff0,
23719 +      &avr32_syntax_table[AVR32_SYNTAX_PADDS_SB],
23720 +      BFD_RELOC_UNUSED, 3, -1,
23721 +      {
23722 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23723 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23724 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23725 +      },
23726 +    },
23727 +    {
23728 +      AVR32_OPC_PADDS_SH, 4, 0xe0002040, 0xe1f0fff0,
23729 +      &avr32_syntax_table[AVR32_SYNTAX_PADDS_SH],
23730 +      BFD_RELOC_UNUSED, 3, -1,
23731 +      {
23732 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23733 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23734 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23735 +      },
23736 +    },
23737 +    {
23738 +      AVR32_OPC_PADDS_UB, 4, 0xe0002340, 0xe1f0fff0,
23739 +      &avr32_syntax_table[AVR32_SYNTAX_PADDS_UB],
23740 +      BFD_RELOC_UNUSED, 3, -1,
23741 +      {
23742 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23743 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23744 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23745 +      },
23746 +    },
23747 +    {
23748 +      AVR32_OPC_PADDS_UH, 4, 0xe0002080, 0xe1f0fff0,
23749 +      &avr32_syntax_table[AVR32_SYNTAX_PADDS_UH],
23750 +      BFD_RELOC_UNUSED, 3, -1,
23751 +      {
23752 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23753 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23754 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23755 +      },
23756 +    },
23757 +    {
23758 +      AVR32_OPC_PADDSUB_H, 4, 0xe0002100, 0xe1f0ffc0,
23759 +      &avr32_syntax_table[AVR32_SYNTAX_PADDSUB_H],
23760 +      BFD_RELOC_UNUSED, 5, -1,
23761 +      {
23762 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23763 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23764 +       &avr32_ifield_table[AVR32_IFIELD_X],
23765 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23766 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23767 +      },
23768 +    },
23769 +    {
23770 +      AVR32_OPC_PADDSUBH_SH, 4, 0xe0002280, 0xe1f0ffc0,
23771 +      &avr32_syntax_table[AVR32_SYNTAX_PADDSUBH_SH],
23772 +      BFD_RELOC_UNUSED, 5, -1,
23773 +      {
23774 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23775 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23776 +       &avr32_ifield_table[AVR32_IFIELD_X],
23777 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23778 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23779 +      },
23780 +    },
23781 +    {
23782 +      AVR32_OPC_PADDSUBS_SH, 4, 0xe0002180, 0xe1f0ffc0,
23783 +      &avr32_syntax_table[AVR32_SYNTAX_PADDSUBS_SH],
23784 +      BFD_RELOC_UNUSED, 5, -1,
23785 +      {
23786 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23787 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23788 +       &avr32_ifield_table[AVR32_IFIELD_X],
23789 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23790 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23791 +      },
23792 +    },
23793 +    {
23794 +      AVR32_OPC_PADDSUBS_UH, 4, 0xe0002200, 0xe1f0ffc0,
23795 +      &avr32_syntax_table[AVR32_SYNTAX_PADDSUBS_UH],
23796 +      BFD_RELOC_UNUSED, 5, -1,
23797 +      {
23798 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23799 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23800 +       &avr32_ifield_table[AVR32_IFIELD_X],
23801 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23802 +       &avr32_ifield_table[AVR32_IFIELD_Y],
23803 +      },
23804 +    },
23805 +    {
23806 +      AVR32_OPC_PADDX_H, 4, 0xe0002020, 0xe1f0fff0,
23807 +      &avr32_syntax_table[AVR32_SYNTAX_PADDX_H],
23808 +      BFD_RELOC_UNUSED, 3, -1,
23809 +      {
23810 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23811 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23812 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23813 +      },
23814 +    },
23815 +    {
23816 +      AVR32_OPC_PADDXH_SH, 4, 0xe00020e0, 0xe1f0fff0,
23817 +      &avr32_syntax_table[AVR32_SYNTAX_PADDXH_SH],
23818 +      BFD_RELOC_UNUSED, 3, -1,
23819 +      {
23820 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23821 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23822 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23823 +      },
23824 +    },
23825 +    {
23826 +      AVR32_OPC_PADDXS_SH, 4, 0xe0002060, 0xe1f0fff0,
23827 +      &avr32_syntax_table[AVR32_SYNTAX_PADDXS_SH],
23828 +      BFD_RELOC_UNUSED, 3, -1,
23829 +      {
23830 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23831 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23832 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23833 +      },
23834 +    },
23835 +    {
23836 +      AVR32_OPC_PADDXS_UH, 4, 0xe00020a0, 0xe1f0fff0,
23837 +      &avr32_syntax_table[AVR32_SYNTAX_PADDXS_UH],
23838 +      BFD_RELOC_UNUSED, 3, -1,
23839 +      {
23840 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23841 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23842 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23843 +      },
23844 +    },
23845 +    {
23846 +      AVR32_OPC_PASR_B, 4, 0xe0002410, 0xe1f8fff0,
23847 +      &avr32_syntax_table[AVR32_SYNTAX_PASR_B],
23848 +      BFD_RELOC_UNUSED, 3, -1,
23849 +      {
23850 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23851 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23852 +       &avr32_ifield_table[AVR32_IFIELD_COND3],
23853 +      },
23854 +    },
23855 +    {
23856 +      AVR32_OPC_PASR_H, 4, 0xe0002440, 0xe1f0fff0,
23857 +      &avr32_syntax_table[AVR32_SYNTAX_PASR_H],
23858 +      BFD_RELOC_UNUSED, 3, -1,
23859 +      {
23860 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23861 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23862 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23863 +      },
23864 +    },
23865 +    {
23866 +      AVR32_OPC_PAVG_SH, 4, 0xe00023d0, 0xe1f0fff0,
23867 +      &avr32_syntax_table[AVR32_SYNTAX_PAVG_SH],
23868 +      BFD_RELOC_UNUSED, 3, -1,
23869 +      {
23870 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23871 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23872 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23873 +      },
23874 +    },
23875 +    {
23876 +      AVR32_OPC_PAVG_UB, 4, 0xe00023c0, 0xe1f0fff0,
23877 +      &avr32_syntax_table[AVR32_SYNTAX_PAVG_UB],
23878 +      BFD_RELOC_UNUSED, 3, -1,
23879 +      {
23880 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23881 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23882 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23883 +      },
23884 +    },
23885 +    {
23886 +      AVR32_OPC_PLSL_B, 4, 0xe0002420, 0xe1f8fff0,
23887 +      &avr32_syntax_table[AVR32_SYNTAX_PLSL_B],
23888 +      BFD_RELOC_UNUSED, 3, -1,
23889 +      {
23890 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23891 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23892 +       &avr32_ifield_table[AVR32_IFIELD_COND3],
23893 +      },
23894 +    },
23895 +    {
23896 +      AVR32_OPC_PLSL_H, 4, 0xe0002450, 0xe1f0fff0,
23897 +      &avr32_syntax_table[AVR32_SYNTAX_PLSL_H],
23898 +      BFD_RELOC_UNUSED, 3, -1,
23899 +      {
23900 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23901 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23902 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23903 +      },
23904 +    },
23905 +    {
23906 +      AVR32_OPC_PLSR_B, 4, 0xe0002430, 0xe1f8fff0,
23907 +      &avr32_syntax_table[AVR32_SYNTAX_PLSR_B],
23908 +      BFD_RELOC_UNUSED, 3, -1,
23909 +      {
23910 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23911 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23912 +       &avr32_ifield_table[AVR32_IFIELD_COND3],
23913 +      },
23914 +    },
23915 +    {
23916 +      AVR32_OPC_PLSR_H, 4, 0xe0002460, 0xe1f0fff0,
23917 +      &avr32_syntax_table[AVR32_SYNTAX_PLSR_H],
23918 +      BFD_RELOC_UNUSED, 3, -1,
23919 +      {
23920 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23921 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23922 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23923 +      },
23924 +    },
23925 +    {
23926 +      AVR32_OPC_PMAX_SH, 4, 0xe0002390, 0xe1f0fff0,
23927 +      &avr32_syntax_table[AVR32_SYNTAX_PMAX_SH],
23928 +      BFD_RELOC_UNUSED, 3, -1,
23929 +      {
23930 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23931 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23932 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23933 +      },
23934 +    },
23935 +    {
23936 +      AVR32_OPC_PMAX_UB, 4, 0xe0002380, 0xe1f0fff0,
23937 +      &avr32_syntax_table[AVR32_SYNTAX_PMAX_UB],
23938 +      BFD_RELOC_UNUSED, 3, -1,
23939 +      {
23940 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23941 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23942 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23943 +      },
23944 +    },
23945 +    {
23946 +      AVR32_OPC_PMIN_SH, 4, 0xe00023b0, 0xe1f0fff0,
23947 +      &avr32_syntax_table[AVR32_SYNTAX_PMIN_SH],
23948 +      BFD_RELOC_UNUSED, 3, -1,
23949 +      {
23950 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23951 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23952 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23953 +      },
23954 +    },
23955 +    {
23956 +      AVR32_OPC_PMIN_UB, 4, 0xe00023a0, 0xe1f0fff0,
23957 +      &avr32_syntax_table[AVR32_SYNTAX_PMIN_UB],
23958 +      BFD_RELOC_UNUSED, 3, -1,
23959 +      {
23960 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
23961 +       &avr32_ifield_table[AVR32_IFIELD_RX],
23962 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23963 +      },
23964 +    },
23965 +    {
23966 +      AVR32_OPC_POPJC, 2, 0xd7130000, 0xffff0000,
23967 +      &avr32_syntax_table[AVR32_SYNTAX_POPJC],
23968 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
23969 +    },
23970 +    {
23971 +      AVR32_OPC_POPM, 2, 0xd0020000, 0xf0070000,
23972 +      &avr32_syntax_table[AVR32_SYNTAX_POPM],
23973 +      BFD_RELOC_UNUSED, 1, -1,
23974 +      {
23975 +       &avr32_ifield_table[AVR32_IFIELD_POPM],
23976 +      },
23977 +    },
23978 +    {
23979 +      AVR32_OPC_POPM_E, 4, 0xe3cd0000, 0xffff0000,
23980 +      &avr32_syntax_table[AVR32_SYNTAX_POPM_E],
23981 +      BFD_RELOC_UNUSED, 1, -1,
23982 +      {
23983 +       &avr32_ifield_table[AVR32_IFIELD_K16],
23984 +      },
23985 +    },
23986 +    {
23987 +      AVR32_OPC_PREF, 4, 0xf2100000, 0xfff00000,
23988 +      &avr32_syntax_table[AVR32_SYNTAX_PREF],
23989 +      BFD_RELOC_AVR32_16S, 2, -1,
23990 +      {
23991 +       &avr32_ifield_table[AVR32_IFIELD_RY],
23992 +       &avr32_ifield_table[AVR32_IFIELD_K16],
23993 +      },
23994 +    },
23995 +    {
23996 +      AVR32_OPC_PSAD, 4, 0xe0002400, 0xe1f0fff0,
23997 +      &avr32_syntax_table[AVR32_SYNTAX_PSAD],
23998 +      BFD_RELOC_UNUSED, 3, -1,
23999 +      {
24000 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24001 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24002 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24003 +      },
24004 +    },
24005 +    {
24006 +      AVR32_OPC_PSUB_B, 4, 0xe0002310, 0xe1f0fff0,
24007 +      &avr32_syntax_table[AVR32_SYNTAX_PSUB_B],
24008 +      BFD_RELOC_UNUSED, 3, -1,
24009 +      {
24010 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24011 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24012 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24013 +      },
24014 +    },
24015 +    {
24016 +      AVR32_OPC_PSUB_H, 4, 0xe0002010, 0xe1f0fff0,
24017 +      &avr32_syntax_table[AVR32_SYNTAX_PSUB_H],
24018 +      BFD_RELOC_UNUSED, 3, -1,
24019 +      {
24020 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24021 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24022 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24023 +      },
24024 +    },
24025 +    {
24026 +      AVR32_OPC_PSUBADD_H, 4, 0xe0002140, 0xe1f0ffc0,
24027 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBADD_H],
24028 +      BFD_RELOC_UNUSED, 5, -1,
24029 +      {
24030 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24031 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24032 +       &avr32_ifield_table[AVR32_IFIELD_X],
24033 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24034 +       &avr32_ifield_table[AVR32_IFIELD_Y],
24035 +      },
24036 +    },
24037 +    {
24038 +      AVR32_OPC_PSUBADDH_SH, 4, 0xe00022c0, 0xe1f0ffc0,
24039 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBADDH_SH],
24040 +      BFD_RELOC_UNUSED, 5, -1,
24041 +      {
24042 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24043 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24044 +       &avr32_ifield_table[AVR32_IFIELD_X],
24045 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24046 +       &avr32_ifield_table[AVR32_IFIELD_Y],
24047 +      },
24048 +    },
24049 +    {
24050 +      AVR32_OPC_PSUBADDS_SH, 4, 0xe00021c0, 0xe1f0ffc0,
24051 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBADDS_SH],
24052 +      BFD_RELOC_UNUSED, 5, -1,
24053 +      {
24054 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24055 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24056 +       &avr32_ifield_table[AVR32_IFIELD_X],
24057 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24058 +       &avr32_ifield_table[AVR32_IFIELD_Y],
24059 +      },
24060 +    },
24061 +    {
24062 +      AVR32_OPC_PSUBADDS_UH, 4, 0xe0002240, 0xe1f0ffc0,
24063 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBADDS_UH],
24064 +      BFD_RELOC_UNUSED, 5, -1,
24065 +      {
24066 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24067 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24068 +       &avr32_ifield_table[AVR32_IFIELD_X],
24069 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24070 +       &avr32_ifield_table[AVR32_IFIELD_Y],
24071 +      },
24072 +    },
24073 +    {
24074 +      AVR32_OPC_PSUBH_SH, 4, 0xe00020d0, 0xe1f0fff0,
24075 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBH_SH],
24076 +      BFD_RELOC_UNUSED, 3, -1,
24077 +      {
24078 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24079 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24080 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24081 +      },
24082 +    },
24083 +    {
24084 +      AVR32_OPC_PSUBH_UB, 4, 0xe0002370, 0xe1f0fff0,
24085 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBH_UB],
24086 +      BFD_RELOC_UNUSED, 3, -1,
24087 +      {
24088 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24089 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24090 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24091 +      },
24092 +    },
24093 +    {
24094 +      AVR32_OPC_PSUBS_SB, 4, 0xe0002330, 0xe1f0fff0,
24095 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBS_SB],
24096 +      BFD_RELOC_UNUSED, 3, -1,
24097 +      {
24098 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24099 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24100 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24101 +      },
24102 +    },
24103 +    {
24104 +      AVR32_OPC_PSUBS_SH, 4, 0xe0002050, 0xe1f0fff0,
24105 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBS_SH],
24106 +      BFD_RELOC_UNUSED, 3, -1,
24107 +      {
24108 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24109 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24110 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24111 +      },
24112 +    },
24113 +    {
24114 +      AVR32_OPC_PSUBS_UB, 4, 0xe0002350, 0xe1f0fff0,
24115 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBS_UB],
24116 +      BFD_RELOC_UNUSED, 3, -1,
24117 +      {
24118 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24119 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24120 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24121 +      },
24122 +    },
24123 +    {
24124 +      AVR32_OPC_PSUBS_UH, 4, 0xe0002090, 0xe1f0fff0,
24125 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBS_UH],
24126 +      BFD_RELOC_UNUSED, 3, -1,
24127 +      {
24128 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24129 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24130 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24131 +      },
24132 +    },
24133 +    {
24134 +      AVR32_OPC_PSUBX_H, 4, 0xe0002030, 0xe1f0fff0,
24135 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBX_H],
24136 +      BFD_RELOC_UNUSED, 3, -1,
24137 +      {
24138 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24139 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24140 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24141 +      },
24142 +    },
24143 +    {
24144 +      AVR32_OPC_PSUBXH_SH, 4, 0xe00020f0, 0xe1f0fff0,
24145 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBXH_SH],
24146 +      BFD_RELOC_UNUSED, 3, -1,
24147 +      {
24148 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24149 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24150 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24151 +      },
24152 +    },
24153 +    {
24154 +      AVR32_OPC_PSUBXS_SH, 4, 0xe0002070, 0xe1f0fff0,
24155 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBXS_SH],
24156 +      BFD_RELOC_UNUSED, 3, -1,
24157 +      {
24158 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24159 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24160 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24161 +      },
24162 +    },
24163 +    {
24164 +      AVR32_OPC_PSUBXS_UH, 4, 0xe00020b0, 0xe1f0fff0,
24165 +      &avr32_syntax_table[AVR32_SYNTAX_PSUBXS_UH],
24166 +      BFD_RELOC_UNUSED, 3, -1,
24167 +      {
24168 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24169 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24170 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24171 +      },
24172 +    },
24173 +    {
24174 +      AVR32_OPC_PUNPCKSB_H, 4, 0xe00024a0, 0xe1ffffe0,
24175 +      &avr32_syntax_table[AVR32_SYNTAX_PUNPCKSB_H],
24176 +      BFD_RELOC_UNUSED, 3, -1,
24177 +      {
24178 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24179 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24180 +       &avr32_ifield_table[AVR32_IFIELD_Y],
24181 +      },
24182 +    },
24183 +    {
24184 +      AVR32_OPC_PUNPCKUB_H, 4, 0xe0002480, 0xe1ffffe0,
24185 +      &avr32_syntax_table[AVR32_SYNTAX_PUNPCKUB_H],
24186 +      BFD_RELOC_UNUSED, 3, -1,
24187 +      {
24188 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24189 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24190 +       &avr32_ifield_table[AVR32_IFIELD_Y],
24191 +      },
24192 +    },
24193 +    {
24194 +      AVR32_OPC_PUSHJC, 2, 0xd7230000, 0xffff0000,
24195 +      &avr32_syntax_table[AVR32_SYNTAX_PUSHJC],
24196 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
24197 +    },
24198 +    {
24199 +      AVR32_OPC_PUSHM, 2, 0xd0010000, 0xf00f0000,
24200 +      &avr32_syntax_table[AVR32_SYNTAX_PUSHM],
24201 +      BFD_RELOC_UNUSED, 1, -1,
24202 +      {
24203 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
24204 +      },
24205 +    },
24206 +    {
24207 +      AVR32_OPC_PUSHM_E, 4, 0xebcd0000, 0xffff0000,
24208 +      &avr32_syntax_table[AVR32_SYNTAX_PUSHM_E],
24209 +      BFD_RELOC_UNUSED, 1, -1,
24210 +      {
24211 +       &avr32_ifield_table[AVR32_IFIELD_K16],
24212 +      },
24213 +    },
24214 +    {
24215 +      AVR32_OPC_RCALL1, 2, 0xc00c0000, 0xf00c0000,
24216 +      &avr32_syntax_table[AVR32_SYNTAX_RCALL1],
24217 +      BFD_RELOC_AVR32_11H_PCREL, 1, 0,
24218 +      {
24219 +       &avr32_ifield_table[AVR32_IFIELD_K10],
24220 +      },
24221 +    },
24222 +    {
24223 +      AVR32_OPC_RCALL2, 4, 0xe0a00000, 0xe1ef0000,
24224 +      &avr32_syntax_table[AVR32_SYNTAX_RCALL2],
24225 +      BFD_RELOC_AVR32_22H_PCREL, 1, 0,
24226 +      {
24227 +       &avr32_ifield_table[AVR32_IFIELD_K21],
24228 +      },
24229 +    },
24230 +    {
24231 +      AVR32_OPC_RETEQ, 2, 0x5e000000, 0xfff00000,
24232 +      &avr32_syntax_table[AVR32_SYNTAX_RETEQ],
24233 +      BFD_RELOC_NONE, 1, -1,
24234 +      {
24235 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24236 +      },
24237 +    },
24238 +    {
24239 +      AVR32_OPC_RETNE, 2, 0x5e100000, 0xfff00000,
24240 +      &avr32_syntax_table[AVR32_SYNTAX_RETNE],
24241 +      BFD_RELOC_NONE, 1, -1,
24242 +      {
24243 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24244 +      },
24245 +    },
24246 +    {
24247 +      AVR32_OPC_RETCC, 2, 0x5e200000, 0xfff00000,
24248 +      &avr32_syntax_table[AVR32_SYNTAX_RETHS],
24249 +      BFD_RELOC_NONE, 1, -1,
24250 +      {
24251 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24252 +      },
24253 +    },
24254 +    {
24255 +      AVR32_OPC_RETCS, 2, 0x5e300000, 0xfff00000,
24256 +      &avr32_syntax_table[AVR32_SYNTAX_RETLO],
24257 +      BFD_RELOC_NONE, 1, -1,
24258 +      {
24259 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24260 +      },
24261 +    },
24262 +    {
24263 +      AVR32_OPC_RETGE, 2, 0x5e400000, 0xfff00000,
24264 +      &avr32_syntax_table[AVR32_SYNTAX_RETGE],
24265 +      BFD_RELOC_NONE, 1, -1,
24266 +      {
24267 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24268 +      },
24269 +    },
24270 +    {
24271 +      AVR32_OPC_RETLT, 2, 0x5e500000, 0xfff00000,
24272 +      &avr32_syntax_table[AVR32_SYNTAX_RETLT],
24273 +      BFD_RELOC_NONE, 1, -1,
24274 +      {
24275 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24276 +      },
24277 +    },
24278 +    {
24279 +      AVR32_OPC_RETMI, 2, 0x5e600000, 0xfff00000,
24280 +      &avr32_syntax_table[AVR32_SYNTAX_RETMI],
24281 +      BFD_RELOC_NONE, 1, -1,
24282 +      {
24283 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24284 +      },
24285 +    },
24286 +    {
24287 +      AVR32_OPC_RETPL, 2, 0x5e700000, 0xfff00000,
24288 +      &avr32_syntax_table[AVR32_SYNTAX_RETPL],
24289 +      BFD_RELOC_NONE, 1, -1,
24290 +      {
24291 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24292 +      },
24293 +    },
24294 +    {
24295 +      AVR32_OPC_RETLS, 2, 0x5e800000, 0xfff00000,
24296 +      &avr32_syntax_table[AVR32_SYNTAX_RETLS],
24297 +      BFD_RELOC_NONE, 1, -1,
24298 +      {
24299 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24300 +      },
24301 +    },
24302 +    {
24303 +      AVR32_OPC_RETGT, 2, 0x5e900000, 0xfff00000,
24304 +      &avr32_syntax_table[AVR32_SYNTAX_RETGT],
24305 +      BFD_RELOC_NONE, 1, -1,
24306 +      {
24307 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24308 +      },
24309 +    },
24310 +    {
24311 +      AVR32_OPC_RETLE, 2, 0x5ea00000, 0xfff00000,
24312 +      &avr32_syntax_table[AVR32_SYNTAX_RETLE],
24313 +      BFD_RELOC_NONE, 1, -1,
24314 +      {
24315 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24316 +      },
24317 +    },
24318 +    {
24319 +      AVR32_OPC_RETHI, 2, 0x5eb00000, 0xfff00000,
24320 +      &avr32_syntax_table[AVR32_SYNTAX_RETHI],
24321 +      BFD_RELOC_NONE, 1, -1,
24322 +      {
24323 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24324 +      },
24325 +    },
24326 +    {
24327 +      AVR32_OPC_RETVS, 2, 0x5ec00000, 0xfff00000,
24328 +      &avr32_syntax_table[AVR32_SYNTAX_RETVS],
24329 +      BFD_RELOC_NONE, 1, -1,
24330 +      {
24331 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24332 +      },
24333 +    },
24334 +    {
24335 +      AVR32_OPC_RETVC, 2, 0x5ed00000, 0xfff00000,
24336 +      &avr32_syntax_table[AVR32_SYNTAX_RETVC],
24337 +      BFD_RELOC_NONE, 1, -1,
24338 +      {
24339 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24340 +      },
24341 +    },
24342 +    {
24343 +      AVR32_OPC_RETQS, 2, 0x5ee00000, 0xfff00000,
24344 +      &avr32_syntax_table[AVR32_SYNTAX_RETQS],
24345 +      BFD_RELOC_NONE, 1, -1,
24346 +      {
24347 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24348 +      },
24349 +    },
24350 +    {
24351 +      AVR32_OPC_RETAL, 2, 0x5ef00000, 0xfff00000,
24352 +      &avr32_syntax_table[AVR32_SYNTAX_RETAL],
24353 +      BFD_RELOC_NONE, 1, -1,
24354 +      {
24355 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24356 +      },
24357 +    },
24358 +    {
24359 +      AVR32_OPC_RETD, 2, 0xd6230000, 0xffff0000,
24360 +      &avr32_syntax_table[AVR32_SYNTAX_RETD],
24361 +      BFD_RELOC_NONE, 0, -1, { NULL },
24362 +    },
24363 +    {
24364 +      AVR32_OPC_RETE, 2, 0xd6030000, 0xffff0000,
24365 +      &avr32_syntax_table[AVR32_SYNTAX_RETE],
24366 +      BFD_RELOC_NONE, 0, -1, { NULL },
24367 +    },
24368 +    {
24369 +      AVR32_OPC_RETJ, 2, 0xd6330000, 0xffff0000,
24370 +      &avr32_syntax_table[AVR32_SYNTAX_RETJ],
24371 +      BFD_RELOC_NONE, 0, -1, { NULL },
24372 +    },
24373 +    {
24374 +      AVR32_OPC_RETS, 2, 0xd6130000, 0xffff0000,
24375 +      &avr32_syntax_table[AVR32_SYNTAX_RETS],
24376 +      BFD_RELOC_NONE, 0, -1, { NULL },
24377 +    },
24378 +    {
24379 +      AVR32_OPC_RJMP, 2, 0xc0080000, 0xf00c0000,
24380 +      &avr32_syntax_table[AVR32_SYNTAX_RJMP],
24381 +      BFD_RELOC_AVR32_11H_PCREL, 1, 0,
24382 +      {
24383 +       &avr32_ifield_table[AVR32_IFIELD_K10],
24384 +      },
24385 +    },
24386 +    {
24387 +      AVR32_OPC_ROL, 2, 0x5cf00000, 0xfff00000,
24388 +      &avr32_syntax_table[AVR32_SYNTAX_ROL],
24389 +      BFD_RELOC_UNUSED, 1, -1,
24390 +      {
24391 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24392 +      }
24393 +    },
24394 +    {
24395 +      AVR32_OPC_ROR, 2, 0x5d000000, 0xfff00000,
24396 +      &avr32_syntax_table[AVR32_SYNTAX_ROR],
24397 +      BFD_RELOC_UNUSED, 1, -1,
24398 +      {
24399 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24400 +      }
24401 +    },
24402 +    {
24403 +      AVR32_OPC_RSUB1, 2, 0x00200000, 0xe1f00000,
24404 +      &avr32_syntax_table[AVR32_SYNTAX_RSUB1],
24405 +      BFD_RELOC_UNUSED, 2, -1,
24406 +      {
24407 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24408 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24409 +      },
24410 +    },
24411 +    {
24412 +      AVR32_OPC_RSUB2, 4, 0xe0001100, 0xe1f0ff00,
24413 +      &avr32_syntax_table[AVR32_SYNTAX_RSUB2],
24414 +      BFD_RELOC_AVR32_8S_EXT, 3, 2,
24415 +      {
24416 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24417 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24418 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
24419 +      },
24420 +    },
24421 +    {
24422 +      AVR32_OPC_SATADD_H, 4, 0xe00002c0, 0xe1f0fff0,
24423 +      &avr32_syntax_table[AVR32_SYNTAX_SATADD_H],
24424 +      BFD_RELOC_UNUSED, 3, -1,
24425 +      {
24426 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24427 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24428 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24429 +      },
24430 +    },
24431 +    {
24432 +      AVR32_OPC_SATADD_W, 4, 0xe00000c0, 0xe1f0fff0,
24433 +      &avr32_syntax_table[AVR32_SYNTAX_SATADD_W],
24434 +      BFD_RELOC_UNUSED, 3, -1,
24435 +      {
24436 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24437 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24438 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24439 +      },
24440 +    },
24441 +    {
24442 +      AVR32_OPC_SATRNDS, 4, 0xf3b00000, 0xfff0fc00,
24443 +      &avr32_syntax_table[AVR32_SYNTAX_SATRNDS],
24444 +      BFD_RELOC_UNUSED, 3, -1,
24445 +      {
24446 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24447 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
24448 +       &avr32_ifield_table[AVR32_IFIELD_S5],
24449 +      },
24450 +    },
24451 +    {
24452 +      AVR32_OPC_SATRNDU, 4, 0xf3b00400, 0xfff0fc00,
24453 +      &avr32_syntax_table[AVR32_SYNTAX_SATRNDU],
24454 +      BFD_RELOC_UNUSED, 3, -1,
24455 +      {
24456 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24457 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
24458 +       &avr32_ifield_table[AVR32_IFIELD_S5],
24459 +      },
24460 +    },
24461 +    {
24462 +      AVR32_OPC_SATS, 4, 0xf1b00000, 0xfff0fc00,
24463 +      &avr32_syntax_table[AVR32_SYNTAX_SATS],
24464 +      BFD_RELOC_UNUSED, 3, -1,
24465 +      {
24466 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24467 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
24468 +       &avr32_ifield_table[AVR32_IFIELD_S5],
24469 +      },
24470 +    },
24471 +    {
24472 +      AVR32_OPC_SATSUB_H, 4, 0xe00003c0, 0xe1f0fff0,
24473 +      &avr32_syntax_table[AVR32_SYNTAX_SATSUB_H],
24474 +      BFD_RELOC_UNUSED, 3, -1,
24475 +      {
24476 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24477 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24478 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24479 +      },
24480 +    },
24481 +    {
24482 +      AVR32_OPC_SATSUB_W1, 4, 0xe00001c0, 0xe1f0fff0,
24483 +      &avr32_syntax_table[AVR32_SYNTAX_SATSUB_W1],
24484 +      BFD_RELOC_UNUSED, 3, -1,
24485 +      {
24486 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24487 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24488 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24489 +      },
24490 +    },
24491 +    {
24492 +      AVR32_OPC_SATSUB_W2, 4, 0xe0d00000, 0xe1f00000,
24493 +      &avr32_syntax_table[AVR32_SYNTAX_SATSUB_W2],
24494 +      BFD_RELOC_UNUSED, 3, -1,
24495 +      {
24496 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24497 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24498 +       &avr32_ifield_table[AVR32_IFIELD_K16],
24499 +      },
24500 +    },
24501 +    {
24502 +      AVR32_OPC_SATU, 4, 0xf1b00400, 0xfff0fc00,
24503 +      &avr32_syntax_table[AVR32_SYNTAX_SATU],
24504 +      BFD_RELOC_UNUSED, 3, -1,
24505 +      {
24506 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24507 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
24508 +       &avr32_ifield_table[AVR32_IFIELD_S5],
24509 +      },
24510 +    },
24511 +    {
24512 +      AVR32_OPC_SBC, 4, 0xe0000140, 0xe1f0fff0,
24513 +      &avr32_syntax_table[AVR32_SYNTAX_SBC],
24514 +      BFD_RELOC_UNUSED, 3, -1,
24515 +      {
24516 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24517 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24518 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24519 +      },
24520 +    },
24521 +    {
24522 +      AVR32_OPC_SBR, 2, 0xa1a00000, 0xe1e00000,
24523 +      &avr32_syntax_table[AVR32_SYNTAX_SBR],
24524 +      BFD_RELOC_UNUSED, 2, -1,
24525 +      {
24526 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24527 +       &avr32_ifield_table[AVR32_IFIELD_BIT5C],
24528 +      },
24529 +    },
24530 +    {
24531 +      AVR32_OPC_SCALL, 2, 0xd7330000, 0xffff0000,
24532 +      &avr32_syntax_table[AVR32_SYNTAX_SCALL],
24533 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
24534 +    },
24535 +    {
24536 +      AVR32_OPC_SCR, 2, 0x5c100000, 0xfff00000,
24537 +      &avr32_syntax_table[AVR32_SYNTAX_SCR],
24538 +      BFD_RELOC_UNUSED, 1, -1,
24539 +      {
24540 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24541 +      },
24542 +    },
24543 +    {
24544 +      AVR32_OPC_SLEEP, 4, 0xe9b00000, 0xffffff00,
24545 +      &avr32_syntax_table[AVR32_SYNTAX_SLEEP],
24546 +      BFD_RELOC_AVR32_8S_EXT, 1, 0,
24547 +      {
24548 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
24549 +      },
24550 +    },
24551 +    {
24552 +      AVR32_OPC_SREQ, 2, 0x5f000000, 0xfff00000,
24553 +      &avr32_syntax_table[AVR32_SYNTAX_SREQ],
24554 +      BFD_RELOC_UNUSED, 1, -1,
24555 +      {
24556 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24557 +      },
24558 +    },
24559 +    {
24560 +      AVR32_OPC_SRNE, 2, 0x5f100000, 0xfff00000,
24561 +      &avr32_syntax_table[AVR32_SYNTAX_SRNE],
24562 +      BFD_RELOC_UNUSED, 1, -1,
24563 +      {
24564 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24565 +      },
24566 +    },
24567 +    {
24568 +      AVR32_OPC_SRCC, 2, 0x5f200000, 0xfff00000,
24569 +      &avr32_syntax_table[AVR32_SYNTAX_SRHS],
24570 +      BFD_RELOC_UNUSED, 1, -1,
24571 +      {
24572 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24573 +      },
24574 +    },
24575 +    {
24576 +      AVR32_OPC_SRCS, 2, 0x5f300000, 0xfff00000,
24577 +      &avr32_syntax_table[AVR32_SYNTAX_SRLO],
24578 +      BFD_RELOC_UNUSED, 1, -1,
24579 +      {
24580 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24581 +      },
24582 +    },
24583 +    {
24584 +      AVR32_OPC_SRGE, 2, 0x5f400000, 0xfff00000,
24585 +      &avr32_syntax_table[AVR32_SYNTAX_SRGE],
24586 +      BFD_RELOC_UNUSED, 1, -1,
24587 +      {
24588 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24589 +      },
24590 +    },
24591 +    {
24592 +      AVR32_OPC_SRLT, 2, 0x5f500000, 0xfff00000,
24593 +      &avr32_syntax_table[AVR32_SYNTAX_SRLT],
24594 +      BFD_RELOC_UNUSED, 1, -1,
24595 +      {
24596 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24597 +      },
24598 +    },
24599 +    {
24600 +      AVR32_OPC_SRMI, 2, 0x5f600000, 0xfff00000,
24601 +      &avr32_syntax_table[AVR32_SYNTAX_SRMI],
24602 +      BFD_RELOC_UNUSED, 1, -1,
24603 +      {
24604 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24605 +      },
24606 +    },
24607 +    {
24608 +      AVR32_OPC_SRPL, 2, 0x5f700000, 0xfff00000,
24609 +      &avr32_syntax_table[AVR32_SYNTAX_SRPL],
24610 +      BFD_RELOC_UNUSED, 1, -1,
24611 +      {
24612 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24613 +      },
24614 +    },
24615 +    {
24616 +      AVR32_OPC_SRLS, 2, 0x5f800000, 0xfff00000,
24617 +      &avr32_syntax_table[AVR32_SYNTAX_SRLS],
24618 +      BFD_RELOC_UNUSED, 1, -1,
24619 +      {
24620 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24621 +      },
24622 +    },
24623 +    {
24624 +      AVR32_OPC_SRGT, 2, 0x5f900000, 0xfff00000,
24625 +      &avr32_syntax_table[AVR32_SYNTAX_SRGT],
24626 +      BFD_RELOC_UNUSED, 1, -1,
24627 +      {
24628 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24629 +      },
24630 +    },
24631 +    {
24632 +      AVR32_OPC_SRLE, 2, 0x5fa00000, 0xfff00000,
24633 +      &avr32_syntax_table[AVR32_SYNTAX_SRLE],
24634 +      BFD_RELOC_UNUSED, 1, -1,
24635 +      {
24636 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24637 +      },
24638 +    },
24639 +    {
24640 +      AVR32_OPC_SRHI, 2, 0x5fb00000, 0xfff00000,
24641 +      &avr32_syntax_table[AVR32_SYNTAX_SRHI],
24642 +      BFD_RELOC_UNUSED, 1, -1,
24643 +      {
24644 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24645 +      },
24646 +    },
24647 +    {
24648 +      AVR32_OPC_SRVS, 2, 0x5fc00000, 0xfff00000,
24649 +      &avr32_syntax_table[AVR32_SYNTAX_SRVS],
24650 +      BFD_RELOC_UNUSED, 1, -1,
24651 +      {
24652 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24653 +      },
24654 +    },
24655 +    {
24656 +      AVR32_OPC_SRVC, 2, 0x5fd00000, 0xfff00000,
24657 +      &avr32_syntax_table[AVR32_SYNTAX_SRVC],
24658 +      BFD_RELOC_UNUSED, 1, -1,
24659 +      {
24660 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24661 +      },
24662 +    },
24663 +    {
24664 +      AVR32_OPC_SRQS, 2, 0x5fe00000, 0xfff00000,
24665 +      &avr32_syntax_table[AVR32_SYNTAX_SRQS],
24666 +      BFD_RELOC_UNUSED, 1, -1,
24667 +      {
24668 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24669 +      },
24670 +    },
24671 +    {
24672 +      AVR32_OPC_SRAL, 2, 0x5ff00000, 0xfff00000,
24673 +      &avr32_syntax_table[AVR32_SYNTAX_SRAL],
24674 +      BFD_RELOC_UNUSED, 1, -1,
24675 +      {
24676 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24677 +      },
24678 +    },
24679 +    {
24680 +      AVR32_OPC_SSRF, 2, 0xd2030000, 0xfe0f0000,
24681 +      &avr32_syntax_table[AVR32_SYNTAX_SSRF],
24682 +      BFD_RELOC_UNUSED, 1, -1,
24683 +      {
24684 +       &avr32_ifield_table[AVR32_IFIELD_K5C],
24685 +      },
24686 +    },
24687 +    {
24688 +      AVR32_OPC_ST_B1, 2, 0x00c00000, 0xe1f00000,
24689 +      &avr32_syntax_table[AVR32_SYNTAX_ST_B1],
24690 +      BFD_RELOC_UNUSED, 2, -1,
24691 +      {
24692 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24693 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24694 +      },
24695 +    },
24696 +    {
24697 +      AVR32_OPC_ST_B2, 2, 0x00f00000, 0xe1f00000,
24698 +      &avr32_syntax_table[AVR32_SYNTAX_ST_B2],
24699 +      BFD_RELOC_UNUSED, 2, -1,
24700 +      {
24701 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24702 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24703 +      },
24704 +    },
24705 +    {
24706 +      AVR32_OPC_ST_B5, 4, 0xe0000b00, 0xe1f0ffc0,
24707 +      &avr32_syntax_table[AVR32_SYNTAX_ST_B5],
24708 +      BFD_RELOC_UNUSED, 4, -1,
24709 +      {
24710 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24711 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24712 +       &avr32_ifield_table[AVR32_IFIELD_K2],
24713 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24714 +      },
24715 +    },
24716 +    {
24717 +      AVR32_OPC_ST_B3, 2, 0xa0800000, 0xe1800000,
24718 +      &avr32_syntax_table[AVR32_SYNTAX_ST_B3],
24719 +      BFD_RELOC_AVR32_3U, 3, 1,
24720 +      {
24721 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24722 +       &avr32_ifield_table[AVR32_IFIELD_K3],
24723 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24724 +      },
24725 +    },
24726 +    {
24727 +      AVR32_OPC_ST_B4, 4, 0xe1600000, 0xe1f00000,
24728 +      &avr32_syntax_table[AVR32_SYNTAX_ST_B4],
24729 +      BFD_RELOC_AVR32_16S, 3, 1,
24730 +      {
24731 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24732 +       &avr32_ifield_table[AVR32_IFIELD_K16],
24733 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24734 +      },
24735 +    },
24736 +    {
24737 +      AVR32_OPC_ST_D1, 2, 0xa1200000, 0xe1f10000,
24738 +      &avr32_syntax_table[AVR32_SYNTAX_ST_D1],
24739 +      BFD_RELOC_UNUSED, 2, -1,
24740 +      {
24741 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24742 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
24743 +      },
24744 +    },
24745 +    {
24746 +      AVR32_OPC_ST_D2, 2, 0xa1210000, 0xe1f10000,
24747 +      &avr32_syntax_table[AVR32_SYNTAX_ST_D2],
24748 +      BFD_RELOC_UNUSED, 2, -1,
24749 +      {
24750 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24751 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
24752 +      },
24753 +    },
24754 +    {
24755 +      AVR32_OPC_ST_D3, 2, 0xa1110000, 0xe1f10000,
24756 +      &avr32_syntax_table[AVR32_SYNTAX_ST_D3],
24757 +      BFD_RELOC_UNUSED, 2, -1,
24758 +      {
24759 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24760 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
24761 +      },
24762 +    },
24763 +    {
24764 +      AVR32_OPC_ST_D5, 4, 0xe0000800, 0xe1f0ffc1,
24765 +      &avr32_syntax_table[AVR32_SYNTAX_ST_D5],
24766 +      BFD_RELOC_UNUSED, 4, -1,
24767 +      {
24768 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24769 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24770 +       &avr32_ifield_table[AVR32_IFIELD_K2],
24771 +       &avr32_ifield_table[AVR32_IFIELD_RD_DW],
24772 +      },
24773 +    },
24774 +    {
24775 +      AVR32_OPC_ST_D4, 4, 0xe0e10000, 0xe1f10000,
24776 +      &avr32_syntax_table[AVR32_SYNTAX_ST_D4],
24777 +      BFD_RELOC_AVR32_16S, 3, 1,
24778 +      {
24779 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24780 +       &avr32_ifield_table[AVR32_IFIELD_K16],
24781 +       &avr32_ifield_table[AVR32_IFIELD_RY_DW],
24782 +      },
24783 +    },
24784 +    {
24785 +      AVR32_OPC_ST_H1, 2, 0x00b00000, 0xe1f00000,
24786 +      &avr32_syntax_table[AVR32_SYNTAX_ST_H1],
24787 +      BFD_RELOC_UNUSED, 2, -1,
24788 +      {
24789 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24790 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24791 +      },
24792 +    },
24793 +    {
24794 +      AVR32_OPC_ST_H2, 2, 0x00e00000, 0xe1f00000,
24795 +      &avr32_syntax_table[AVR32_SYNTAX_ST_H2],
24796 +      BFD_RELOC_UNUSED, 2, -1,
24797 +      {
24798 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24799 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24800 +      },
24801 +    },
24802 +    {
24803 +      AVR32_OPC_ST_H5, 4, 0xe0000a00, 0xe1f0ffc0,
24804 +      &avr32_syntax_table[AVR32_SYNTAX_ST_H5],
24805 +      BFD_RELOC_UNUSED, 4, -1,
24806 +      {
24807 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24808 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24809 +       &avr32_ifield_table[AVR32_IFIELD_K2],
24810 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24811 +      },
24812 +    },
24813 +    {
24814 +      AVR32_OPC_ST_H3, 2, 0xa0000000, 0xe1800000,
24815 +      &avr32_syntax_table[AVR32_SYNTAX_ST_H3],
24816 +      BFD_RELOC_AVR32_4UH, 3, 1,
24817 +      {
24818 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24819 +       &avr32_ifield_table[AVR32_IFIELD_K3],
24820 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24821 +      },
24822 +    },
24823 +    {
24824 +      AVR32_OPC_ST_H4, 4, 0xe1500000, 0xe1f00000,
24825 +      &avr32_syntax_table[AVR32_SYNTAX_ST_H4],
24826 +      BFD_RELOC_AVR32_16S, 3, 1,
24827 +      {
24828 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24829 +       &avr32_ifield_table[AVR32_IFIELD_K16],
24830 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24831 +      },
24832 +    },
24833 +    {
24834 +      AVR32_OPC_ST_W1, 2, 0x00a00000, 0xe1f00000,
24835 +      &avr32_syntax_table[AVR32_SYNTAX_ST_W1],
24836 +      BFD_RELOC_UNUSED, 2, -1,
24837 +      {
24838 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24839 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24840 +      },
24841 +    },
24842 +    {
24843 +      AVR32_OPC_ST_W2, 2, 0x00d00000, 0xe1f00000,
24844 +      &avr32_syntax_table[AVR32_SYNTAX_ST_W2],
24845 +      BFD_RELOC_UNUSED, 2, -1,
24846 +      {
24847 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24848 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24849 +      },
24850 +    },
24851 +    {
24852 +      AVR32_OPC_ST_W5, 4, 0xe0000900, 0xe1f0ffc0,
24853 +      &avr32_syntax_table[AVR32_SYNTAX_ST_W5],
24854 +      BFD_RELOC_UNUSED, 4, -1,
24855 +      {
24856 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24857 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24858 +       &avr32_ifield_table[AVR32_IFIELD_K2],
24859 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24860 +      },
24861 +    },
24862 +    {
24863 +      AVR32_OPC_ST_W3, 2, 0x81000000, 0xe1000000,
24864 +      &avr32_syntax_table[AVR32_SYNTAX_ST_W3],
24865 +      BFD_RELOC_AVR32_6UW, 3, 1,
24866 +      {
24867 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24868 +       &avr32_ifield_table[AVR32_IFIELD_K4],
24869 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24870 +      },
24871 +    },
24872 +    {
24873 +      AVR32_OPC_ST_W4, 4, 0xe1400000, 0xe1f00000,
24874 +      &avr32_syntax_table[AVR32_SYNTAX_ST_W4],
24875 +      BFD_RELOC_AVR32_16S, 3, 1,
24876 +      {
24877 +       &avr32_ifield_table[AVR32_IFIELD_RX],
24878 +       &avr32_ifield_table[AVR32_IFIELD_K16],
24879 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24880 +      },
24881 +    },
24882 +    {
24883 +      AVR32_OPC_STC_D1, 4, 0xeba01000, 0xfff01100,
24884 +      &avr32_syntax_table[AVR32_SYNTAX_STC_D1],
24885 +      BFD_RELOC_AVR32_10UW, 4, 2,
24886 +      {
24887 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
24888 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24889 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
24890 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
24891 +      },
24892 +    },
24893 +    {
24894 +      AVR32_OPC_STC_D2, 4, 0xefa00070, 0xfff011f0,
24895 +      &avr32_syntax_table[AVR32_SYNTAX_STC_D2],
24896 +      BFD_RELOC_UNUSED, 3, -1,
24897 +      {
24898 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
24899 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24900 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
24901 +      },
24902 +    },
24903 +    {
24904 +      AVR32_OPC_STC_D3, 4, 0xefa010c0, 0xfff011c0,
24905 +      &avr32_syntax_table[AVR32_SYNTAX_STC_D3],
24906 +      BFD_RELOC_UNUSED, 5, -1,
24907 +      {
24908 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
24909 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24910 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24911 +       &avr32_ifield_table[AVR32_IFIELD_K2],
24912 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
24913 +      },
24914 +    },
24915 +    {
24916 +      AVR32_OPC_STC_W1, 4, 0xeba00000, 0xfff01000,
24917 +      &avr32_syntax_table[AVR32_SYNTAX_STC_W1],
24918 +      BFD_RELOC_AVR32_10UW, 4, 2,
24919 +      {
24920 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
24921 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24922 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
24923 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
24924 +      },
24925 +    },
24926 +    {
24927 +      AVR32_OPC_STC_W2, 4, 0xefa00060, 0xfff010ff,
24928 +      &avr32_syntax_table[AVR32_SYNTAX_STC_W2],
24929 +      BFD_RELOC_UNUSED, 3, -1,
24930 +      {
24931 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
24932 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24933 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
24934 +      },
24935 +    },
24936 +    {
24937 +      AVR32_OPC_STC_W3, 4, 0xefa01080, 0xfff010c0,
24938 +      &avr32_syntax_table[AVR32_SYNTAX_STC_W3],
24939 +      BFD_RELOC_UNUSED, 5, -1,
24940 +      {
24941 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
24942 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24943 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
24944 +       &avr32_ifield_table[AVR32_IFIELD_K2],
24945 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
24946 +      },
24947 +    },
24948 +    {
24949 +      AVR32_OPC_STC0_D, 4, 0xf7a00000, 0xfff00100,
24950 +      &avr32_syntax_table[AVR32_SYNTAX_STC0_D],
24951 +      BFD_RELOC_AVR32_14UW, 3, 1,
24952 +      {
24953 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24954 +       &avr32_ifield_table[AVR32_IFIELD_K12CP],
24955 +       &avr32_ifield_table[AVR32_IFIELD_CRD_DW],
24956 +      },
24957 +    },
24958 +    {
24959 +      AVR32_OPC_STC0_W, 4, 0xf5a00000, 0xfff00000,
24960 +      &avr32_syntax_table[AVR32_SYNTAX_STC0_W],
24961 +      BFD_RELOC_AVR32_14UW, 3, 1,
24962 +      {
24963 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24964 +       &avr32_ifield_table[AVR32_IFIELD_K12CP],
24965 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
24966 +      },
24967 +    },
24968 +    {
24969 +      AVR32_OPC_STCM_D, 4, 0xeda00500, 0xfff01f00,
24970 +      &avr32_syntax_table[AVR32_SYNTAX_STCM_D],
24971 +      BFD_RELOC_UNUSED, 3, -1,
24972 +      {
24973 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
24974 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24975 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
24976 +      },
24977 +    },
24978 +    {
24979 +      AVR32_OPC_STCM_D_PU, 4, 0xeda01500, 0xfff01f00,
24980 +      &avr32_syntax_table[AVR32_SYNTAX_STCM_D_PU],
24981 +      BFD_RELOC_UNUSED, 3, -1,
24982 +      {
24983 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
24984 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24985 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
24986 +      },
24987 +    },
24988 +    {
24989 +      AVR32_OPC_STCM_W, 4, 0xeda00200, 0xfff01e00,
24990 +      &avr32_syntax_table[AVR32_SYNTAX_STCM_W],
24991 +      BFD_RELOC_UNUSED, 4, -1,
24992 +      {
24993 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
24994 +       &avr32_ifield_table[AVR32_IFIELD_RY],
24995 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
24996 +       &avr32_ifield_table[AVR32_IFIELD_CM_HL],
24997 +      },
24998 +    },
24999 +    {
25000 +      AVR32_OPC_STCM_W_PU, 4, 0xeda01200, 0xfff01e00,
25001 +      &avr32_syntax_table[AVR32_SYNTAX_STCM_W_PU],
25002 +      BFD_RELOC_UNUSED, 4, -1,
25003 +      {
25004 +       &avr32_ifield_table[AVR32_IFIELD_CPNO],
25005 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25006 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25007 +       &avr32_ifield_table[AVR32_IFIELD_CM_HL],
25008 +      },
25009 +    },
25010 +    {
25011 +      AVR32_OPC_STCOND, 4, 0xe1700000, 0xe1f00000,
25012 +      &avr32_syntax_table[AVR32_SYNTAX_STCOND],
25013 +      BFD_RELOC_UNUSED, 3, -1,
25014 +      {
25015 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25016 +       &avr32_ifield_table[AVR32_IFIELD_K16],
25017 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25018 +      },
25019 +    },
25020 +    {
25021 +      AVR32_OPC_STDSP, 2, 0x50000000, 0xf8000000,
25022 +      &avr32_syntax_table[AVR32_SYNTAX_STDSP],
25023 +      BFD_RELOC_UNUSED, 2, -1,
25024 +      {
25025 +       &avr32_ifield_table[AVR32_IFIELD_K7C],
25026 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25027 +      },
25028 +    },
25029 +    {
25030 +      AVR32_OPC_STHH_W2, 4, 0xe1e08000, 0xe1f0c0c0,
25031 +      &avr32_syntax_table[AVR32_SYNTAX_STHH_W2],
25032 +      BFD_RELOC_UNUSED, 7, -1,
25033 +      {
25034 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
25035 +       &avr32_ifield_table[AVR32_IFIELD_CRD_RI],
25036 +       &avr32_ifield_table[AVR32_IFIELD_K2],
25037 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25038 +       &avr32_ifield_table[AVR32_IFIELD_X2],
25039 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25040 +       &avr32_ifield_table[AVR32_IFIELD_Y2],
25041 +      },
25042 +    },
25043 +    {
25044 +      AVR32_OPC_STHH_W1, 4, 0xe1e0c000, 0xe1f0c000,
25045 +      &avr32_syntax_table[AVR32_SYNTAX_STHH_W1],
25046 +      BFD_RELOC_AVR32_STHH_W, 6, 1,
25047 +      {
25048 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
25049 +       &avr32_ifield_table[AVR32_IFIELD_K8E2],
25050 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25051 +       &avr32_ifield_table[AVR32_IFIELD_X2],
25052 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25053 +       &avr32_ifield_table[AVR32_IFIELD_Y2],
25054 +      },
25055 +    },
25056 +    {
25057 +      AVR32_OPC_STM, 4, 0xe9c00000, 0xfff00000,
25058 +      &avr32_syntax_table[AVR32_SYNTAX_STM],
25059 +      BFD_RELOC_UNUSED, 2, -1,
25060 +      {
25061 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25062 +       &avr32_ifield_table[AVR32_IFIELD_K16],
25063 +      },
25064 +    },
25065 +    {
25066 +      AVR32_OPC_STM_PU, 4, 0xebc00000, 0xfff00000,
25067 +      &avr32_syntax_table[AVR32_SYNTAX_STM_PU],
25068 +      BFD_RELOC_UNUSED, 2, -1,
25069 +      {
25070 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25071 +       &avr32_ifield_table[AVR32_IFIELD_K16],
25072 +      },
25073 +    },
25074 +    {
25075 +      AVR32_OPC_STMTS, 4, 0xedc00000, 0xfff00000,
25076 +      &avr32_syntax_table[AVR32_SYNTAX_STMTS],
25077 +      BFD_RELOC_UNUSED, 2, -1,
25078 +      {
25079 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25080 +       &avr32_ifield_table[AVR32_IFIELD_K16],
25081 +      },
25082 +    },
25083 +    {
25084 +      AVR32_OPC_STMTS_PU, 4, 0xefc00000, 0xfff00000,
25085 +      &avr32_syntax_table[AVR32_SYNTAX_STMTS_PU],
25086 +      BFD_RELOC_UNUSED, 2, -1,
25087 +      {
25088 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25089 +       &avr32_ifield_table[AVR32_IFIELD_K16],
25090 +      },
25091 +    },
25092 +    {
25093 +      AVR32_OPC_STSWP_H, 4, 0xe1d09000, 0xe1f0f000,
25094 +      &avr32_syntax_table[AVR32_SYNTAX_STSWP_H],
25095 +      BFD_RELOC_UNUSED, 3, -1,
25096 +      {
25097 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25098 +       &avr32_ifield_table[AVR32_IFIELD_K12],
25099 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25100 +      },
25101 +    },
25102 +    {
25103 +      AVR32_OPC_STSWP_W, 4, 0xe1d0a000, 0xe1f0f000,
25104 +      &avr32_syntax_table[AVR32_SYNTAX_STSWP_W],
25105 +      BFD_RELOC_UNUSED, 3, -1,
25106 +      {
25107 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25108 +       &avr32_ifield_table[AVR32_IFIELD_K12],
25109 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25110 +      },
25111 +    },
25112 +    {
25113 +      AVR32_OPC_SUB1, 2, 0x00100000, 0xe1f00000,
25114 +      &avr32_syntax_table[AVR32_SYNTAX_SUB1],
25115 +      BFD_RELOC_UNUSED, 2, -1,
25116 +      {
25117 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25118 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25119 +      },
25120 +    },
25121 +    {
25122 +      AVR32_OPC_SUB2, 4, 0xe0000100, 0xe1f0ffc0,
25123 +      &avr32_syntax_table[AVR32_SYNTAX_SUB2],
25124 +      BFD_RELOC_UNUSED, 4, -1,
25125 +      {
25126 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
25127 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25128 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25129 +       &avr32_ifield_table[AVR32_IFIELD_K2],
25130 +      },
25131 +    },
25132 +    {
25133 +      AVR32_OPC_SUB5, 4, 0xe0c00000, 0xe1f00000,
25134 +      &avr32_syntax_table[AVR32_SYNTAX_SUB5],
25135 +      BFD_RELOC_AVR32_SUB5, 3, 2,
25136 +      {
25137 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25138 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25139 +       &avr32_ifield_table[AVR32_IFIELD_K16],
25140 +      },
25141 +    },
25142 +    {
25143 +      AVR32_OPC_SUB3_SP, 2, 0x200d0000, 0xf00f0000,
25144 +      &avr32_syntax_table[AVR32_SYNTAX_SUB3_SP],
25145 +      BFD_RELOC_AVR32_10SW, 2, 1,
25146 +      {
25147 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25148 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
25149 +      },
25150 +    },
25151 +    {
25152 +      AVR32_OPC_SUB3, 2, 0x20000000, 0xf0000000,
25153 +      &avr32_syntax_table[AVR32_SYNTAX_SUB3],
25154 +      BFD_RELOC_AVR32_8S, 2, 1,
25155 +      {
25156 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25157 +       &avr32_ifield_table[AVR32_IFIELD_K8C],
25158 +      },
25159 +    },
25160 +    {
25161 +      AVR32_OPC_SUB4, 4, 0xe0200000, 0xe1e00000,
25162 +      &avr32_syntax_table[AVR32_SYNTAX_SUB4],
25163 +      BFD_RELOC_AVR32_21S, 2, 1,
25164 +      {
25165 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25166 +       &avr32_ifield_table[AVR32_IFIELD_K21],
25167 +      },
25168 +    },
25169 +    {
25170 +      AVR32_OPC_SUBEQ, 4, 0xf7b00000, 0xfff0ff00,
25171 +      &avr32_syntax_table[AVR32_SYNTAX_SUBEQ],
25172 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25173 +      {
25174 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25175 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25176 +      },
25177 +    },
25178 +    {
25179 +      AVR32_OPC_SUBNE, 4, 0xf7b00100, 0xfff0ff00,
25180 +      &avr32_syntax_table[AVR32_SYNTAX_SUBNE],
25181 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25182 +      {
25183 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25184 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25185 +      },
25186 +    },
25187 +    {
25188 +      AVR32_OPC_SUBCC, 4, 0xf7b00200, 0xfff0ff00,
25189 +      &avr32_syntax_table[AVR32_SYNTAX_SUBHS],
25190 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25191 +      {
25192 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25193 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25194 +      },
25195 +    },
25196 +    {
25197 +      AVR32_OPC_SUBCS, 4, 0xf7b00300, 0xfff0ff00,
25198 +      &avr32_syntax_table[AVR32_SYNTAX_SUBLO],
25199 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25200 +      {
25201 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25202 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25203 +      },
25204 +    },
25205 +    {
25206 +      AVR32_OPC_SUBGE, 4, 0xf7b00400, 0xfff0ff00,
25207 +      &avr32_syntax_table[AVR32_SYNTAX_SUBGE],
25208 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25209 +      {
25210 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25211 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25212 +      },
25213 +    },
25214 +    {
25215 +      AVR32_OPC_SUBLT, 4, 0xf7b00500, 0xfff0ff00,
25216 +      &avr32_syntax_table[AVR32_SYNTAX_SUBLT],
25217 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25218 +      {
25219 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25220 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25221 +      },
25222 +    },
25223 +    {
25224 +      AVR32_OPC_SUBMI, 4, 0xf7b00600, 0xfff0ff00,
25225 +      &avr32_syntax_table[AVR32_SYNTAX_SUBMI],
25226 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25227 +      {
25228 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25229 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25230 +      },
25231 +    },
25232 +    {
25233 +      AVR32_OPC_SUBPL, 4, 0xf7b00700, 0xfff0ff00,
25234 +      &avr32_syntax_table[AVR32_SYNTAX_SUBPL],
25235 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25236 +      {
25237 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25238 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25239 +      },
25240 +    },
25241 +    {
25242 +      AVR32_OPC_SUBLS, 4, 0xf7b00800, 0xfff0ff00,
25243 +      &avr32_syntax_table[AVR32_SYNTAX_SUBLS],
25244 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25245 +      {
25246 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25247 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25248 +      },
25249 +    },
25250 +    {
25251 +      AVR32_OPC_SUBGT, 4, 0xf7b00900, 0xfff0ff00,
25252 +      &avr32_syntax_table[AVR32_SYNTAX_SUBGT],
25253 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25254 +      {
25255 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25256 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25257 +      },
25258 +    },
25259 +    {
25260 +      AVR32_OPC_SUBLE, 4, 0xf7b00a00, 0xfff0ff00,
25261 +      &avr32_syntax_table[AVR32_SYNTAX_SUBLE],
25262 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25263 +      {
25264 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25265 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25266 +      },
25267 +    },
25268 +    {
25269 +      AVR32_OPC_SUBHI, 4, 0xf7b00b00, 0xfff0ff00,
25270 +      &avr32_syntax_table[AVR32_SYNTAX_SUBHI],
25271 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25272 +      {
25273 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25274 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25275 +      },
25276 +    },
25277 +    {
25278 +      AVR32_OPC_SUBVS, 4, 0xf7b00c00, 0xfff0ff00,
25279 +      &avr32_syntax_table[AVR32_SYNTAX_SUBVS],
25280 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25281 +      {
25282 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25283 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25284 +      },
25285 +    },
25286 +    {
25287 +      AVR32_OPC_SUBVC, 4, 0xf7b00d00, 0xfff0ff00,
25288 +      &avr32_syntax_table[AVR32_SYNTAX_SUBVC],
25289 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25290 +      {
25291 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25292 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25293 +      },
25294 +    },
25295 +    {
25296 +      AVR32_OPC_SUBQS, 4, 0xf7b00e00, 0xfff0ff00,
25297 +      &avr32_syntax_table[AVR32_SYNTAX_SUBQS],
25298 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25299 +      {
25300 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25301 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25302 +      },
25303 +    },
25304 +    {
25305 +      AVR32_OPC_SUBAL, 4, 0xf7b00f00, 0xfff0ff00,
25306 +      &avr32_syntax_table[AVR32_SYNTAX_SUBAL],
25307 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25308 +      {
25309 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25310 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25311 +      },
25312 +    },
25313 +    {
25314 +      AVR32_OPC_SUBFEQ, 4, 0xf5b00000, 0xfff0ff00,
25315 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFEQ],
25316 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25317 +      {
25318 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25319 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25320 +      },
25321 +    },
25322 +    {
25323 +      AVR32_OPC_SUBFNE, 4, 0xf5b00100, 0xfff0ff00,
25324 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFNE],
25325 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25326 +      {
25327 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25328 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25329 +      },
25330 +    },
25331 +    {
25332 +      AVR32_OPC_SUBFCC, 4, 0xf5b00200, 0xfff0ff00,
25333 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFHS],
25334 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25335 +      {
25336 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25337 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25338 +      },
25339 +    },
25340 +    {
25341 +      AVR32_OPC_SUBFCS, 4, 0xf5b00300, 0xfff0ff00,
25342 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFLO],
25343 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25344 +      {
25345 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25346 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25347 +      },
25348 +    },
25349 +    {
25350 +      AVR32_OPC_SUBFGE, 4, 0xf5b00400, 0xfff0ff00,
25351 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFGE],
25352 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25353 +      {
25354 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25355 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25356 +      },
25357 +    },
25358 +    {
25359 +      AVR32_OPC_SUBFLT, 4, 0xf5b00500, 0xfff0ff00,
25360 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFLT],
25361 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25362 +      {
25363 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25364 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25365 +      },
25366 +    },
25367 +    {
25368 +      AVR32_OPC_SUBFMI, 4, 0xf5b00600, 0xfff0ff00,
25369 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFMI],
25370 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25371 +      {
25372 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25373 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25374 +      },
25375 +    },
25376 +    {
25377 +      AVR32_OPC_SUBFPL, 4, 0xf5b00700, 0xfff0ff00,
25378 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFPL],
25379 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25380 +      {
25381 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25382 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25383 +      },
25384 +    },
25385 +    {
25386 +      AVR32_OPC_SUBFLS, 4, 0xf5b00800, 0xfff0ff00,
25387 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFLS],
25388 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25389 +      {
25390 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25391 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25392 +      },
25393 +    },
25394 +    {
25395 +      AVR32_OPC_SUBFGT, 4, 0xf5b00900, 0xfff0ff00,
25396 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFGT],
25397 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25398 +      {
25399 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25400 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25401 +      },
25402 +    },
25403 +    {
25404 +      AVR32_OPC_SUBFLE, 4, 0xf5b00a00, 0xfff0ff00,
25405 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFLE],
25406 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25407 +      {
25408 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25409 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25410 +      },
25411 +    },
25412 +    {
25413 +      AVR32_OPC_SUBFHI, 4, 0xf5b00b00, 0xfff0ff00,
25414 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFHI],
25415 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25416 +      {
25417 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25418 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25419 +      },
25420 +    },
25421 +    {
25422 +      AVR32_OPC_SUBFVS, 4, 0xf5b00c00, 0xfff0ff00,
25423 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFVS],
25424 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25425 +      {
25426 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25427 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25428 +      },
25429 +    },
25430 +    {
25431 +      AVR32_OPC_SUBFVC, 4, 0xf5b00d00, 0xfff0ff00,
25432 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFVC],
25433 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25434 +      {
25435 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25436 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25437 +      },
25438 +    },
25439 +    {
25440 +      AVR32_OPC_SUBFQS, 4, 0xf5b00e00, 0xfff0ff00,
25441 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFQS],
25442 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25443 +      {
25444 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25445 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25446 +      },
25447 +    },
25448 +    {
25449 +      AVR32_OPC_SUBFAL, 4, 0xf5b00f00, 0xfff0ff00,
25450 +      &avr32_syntax_table[AVR32_SYNTAX_SUBFAL],
25451 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,
25452 +      {
25453 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25454 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25455 +      },
25456 +    },
25457 +    {
25458 +      AVR32_OPC_SUBHH_W, 4, 0xe0000f00, 0xe1f0ffc0,
25459 +      &avr32_syntax_table[AVR32_SYNTAX_SUBHH_W],
25460 +      BFD_RELOC_UNUSED, 5, -1,
25461 +      {
25462 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
25463 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25464 +       &avr32_ifield_table[AVR32_IFIELD_X],
25465 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25466 +       &avr32_ifield_table[AVR32_IFIELD_Y],
25467 +      },
25468 +    },
25469 +    {
25470 +      AVR32_OPC_SWAP_B, 2, 0x5cb00000, 0xfff00000,
25471 +      &avr32_syntax_table[AVR32_SYNTAX_SWAP_B],
25472 +      BFD_RELOC_UNUSED, 1, -1,
25473 +      {
25474 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25475 +      }
25476 +    },
25477 +    {
25478 +      AVR32_OPC_SWAP_BH, 2, 0x5cc00000, 0xfff00000,
25479 +      &avr32_syntax_table[AVR32_SYNTAX_SWAP_BH],
25480 +      BFD_RELOC_UNUSED, 1, -1,
25481 +      {
25482 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25483 +      }
25484 +    },
25485 +    {
25486 +      AVR32_OPC_SWAP_H, 2, 0x5ca00000, 0xfff00000,
25487 +      &avr32_syntax_table[AVR32_SYNTAX_SWAP_H],
25488 +      BFD_RELOC_UNUSED, 1, -1,
25489 +      {
25490 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25491 +      }
25492 +    },
25493 +    {
25494 +      AVR32_OPC_SYNC, 4, 0xebb00000, 0xffffff00,
25495 +      &avr32_syntax_table[AVR32_SYNTAX_SYNC],
25496 +      BFD_RELOC_AVR32_8S_EXT, 1, 0,
25497 +      {
25498 +       &avr32_ifield_table[AVR32_IFIELD_K8E],
25499 +      }
25500 +    },
25501 +    {
25502 +      AVR32_OPC_TLBR, 2, 0xd6430000, 0xffff0000,
25503 +      &avr32_syntax_table[AVR32_SYNTAX_TLBR],
25504 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
25505 +    },
25506 +    {
25507 +      AVR32_OPC_TLBS, 2, 0xd6530000, 0xffff0000,
25508 +      &avr32_syntax_table[AVR32_SYNTAX_TLBS],
25509 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
25510 +    },
25511 +    {
25512 +      AVR32_OPC_TLBW, 2, 0xd6630000, 0xffff0000,
25513 +      &avr32_syntax_table[AVR32_SYNTAX_TLBW],
25514 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
25515 +    },
25516 +    {
25517 +      AVR32_OPC_TNBZ, 2, 0x5ce00000, 0xfff00000,
25518 +      &avr32_syntax_table[AVR32_SYNTAX_TNBZ],
25519 +      BFD_RELOC_UNUSED, 1, -1,
25520 +      {
25521 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25522 +      }
25523 +    },
25524 +    {
25525 +      AVR32_OPC_TST, 2, 0x00700000, 0xe1f00000,
25526 +      &avr32_syntax_table[AVR32_SYNTAX_TST],
25527 +      BFD_RELOC_UNUSED, 2, -1,
25528 +      {
25529 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25530 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25531 +      },
25532 +    },
25533 +    {
25534 +      AVR32_OPC_XCHG, 4, 0xe0000b40, 0xe1f0fff0,
25535 +      &avr32_syntax_table[AVR32_SYNTAX_XCHG],
25536 +      BFD_RELOC_UNUSED, 3, -1,
25537 +      {
25538 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],
25539 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25540 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25541 +      },
25542 +    },
25543 +    {
25544 +      AVR32_OPC_MEMC, 4, 0xf6100000, 0xfff00000,
25545 +      &avr32_syntax_table[AVR32_SYNTAX_MEMC],
25546 +      BFD_RELOC_AVR32_15S, 2, 0,
25547 +      {
25548 +       &avr32_ifield_table[AVR32_IFIELD_MEM15],
25549 +       &avr32_ifield_table[AVR32_IFIELD_MEMB5],
25550 +      },
25551 +    },
25552 +    {
25553 +      AVR32_OPC_MEMS, 4, 0xf8100000, 0xfff00000,
25554 +      &avr32_syntax_table[AVR32_SYNTAX_MEMS],
25555 +      BFD_RELOC_AVR32_15S, 2, 0,
25556 +      {
25557 +       &avr32_ifield_table[AVR32_IFIELD_MEM15],
25558 +       &avr32_ifield_table[AVR32_IFIELD_MEMB5],
25559 +      },
25560 +    },
25561 +    {
25562 +      AVR32_OPC_MEMT, 4, 0xfa100000, 0xfff00000,
25563 +      &avr32_syntax_table[AVR32_SYNTAX_MEMT],
25564 +      BFD_RELOC_AVR32_15S, 2, 0,
25565 +      {
25566 +       &avr32_ifield_table[AVR32_IFIELD_MEM15],
25567 +       &avr32_ifield_table[AVR32_IFIELD_MEMB5],
25568 +      },
25569 +    },
25570 +    {
25571 +      AVR32_OPC_BFEXTS, 4, 0xe1d0b000, 0xe1f0fc00,
25572 +      &avr32_syntax_table[AVR32_SYNTAX_BFEXTS],
25573 +      BFD_RELOC_UNUSED, 4, -1,
25574 +      {
25575 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25576 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25577 +       &avr32_ifield_table[AVR32_IFIELD_S5],
25578 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
25579 +      },
25580 +    },
25581 +    {
25582 +      AVR32_OPC_BFEXTU, 4, 0xe1d0c000, 0xe1f0fc00,
25583 +      &avr32_syntax_table[AVR32_SYNTAX_BFEXTU],
25584 +      BFD_RELOC_UNUSED, 4, -1,
25585 +      {
25586 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25587 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25588 +       &avr32_ifield_table[AVR32_IFIELD_S5],
25589 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
25590 +      },
25591 +    },
25592 +    {
25593 +      AVR32_OPC_BFINS, 4, 0xe1d0d000, 0xe1f0fc00,
25594 +      &avr32_syntax_table[AVR32_SYNTAX_BFINS],
25595 +      BFD_RELOC_UNUSED, 4, -1,
25596 +      {
25597 +       &avr32_ifield_table[AVR32_IFIELD_RX],
25598 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25599 +       &avr32_ifield_table[AVR32_IFIELD_S5],
25600 +       &avr32_ifield_table[AVR32_IFIELD_K5E],
25601 +      },
25602 +    },
25603 +#define AVR32_OPCODE_RSUBCOND(cond_name, cond_field)                    \
25604 +    {                                                                   \
25605 +      AVR32_OPC_RSUB ## cond_name , 4,                                  \
25606 +      0xfbb00000 | (cond_field << 8), 0xfff0ff00,                       \
25607 +      &avr32_syntax_table[AVR32_SYNTAX_RSUB ## cond_name ],             \
25608 +      BFD_RELOC_AVR32_8S_EXT, 2, 1,                                     \
25609 +      {                                                                 \
25610 +       &avr32_ifield_table[AVR32_IFIELD_RY],                           \
25611 +       &avr32_ifield_table[AVR32_IFIELD_K8E],                          \
25612 +      },                                                                \
25613 +    },
25614 +
25615 +    AVR32_OPCODE_RSUBCOND (EQ, 0) 
25616 +    AVR32_OPCODE_RSUBCOND (NE, 1) 
25617 +    AVR32_OPCODE_RSUBCOND (CC, 2) 
25618 +    AVR32_OPCODE_RSUBCOND (CS, 3) 
25619 +    AVR32_OPCODE_RSUBCOND (GE, 4) 
25620 +    AVR32_OPCODE_RSUBCOND (LT, 5) 
25621 +    AVR32_OPCODE_RSUBCOND (MI, 6) 
25622 +    AVR32_OPCODE_RSUBCOND (PL, 7) 
25623 +    AVR32_OPCODE_RSUBCOND (LS, 8) 
25624 +    AVR32_OPCODE_RSUBCOND (GT, 9) 
25625 +    AVR32_OPCODE_RSUBCOND (LE, 10) 
25626 +    AVR32_OPCODE_RSUBCOND (HI, 11) 
25627 +    AVR32_OPCODE_RSUBCOND (VS, 12) 
25628 +    AVR32_OPCODE_RSUBCOND (VC, 13) 
25629 +    AVR32_OPCODE_RSUBCOND (QS, 14) 
25630 +    AVR32_OPCODE_RSUBCOND (AL, 15) 
25631 +
25632 +#define AVR32_OPCODE_OP3_COND(op_name, op_field, cond_name, cond_field) \
25633 +    {                                                                   \
25634 +      AVR32_OPC_ ## op_name ## cond_name , 4,                           \
25635 +      0xe1d0e000 | (cond_field << 8) | (op_field << 4), 0xe1f0fff0,     \
25636 +      &avr32_syntax_table[AVR32_SYNTAX_ ## op_name ## cond_name ],      \
25637 +      BFD_RELOC_UNUSED, 3, -1,                                          \
25638 +      {                                                                 \
25639 +       &avr32_ifield_table[AVR32_IFIELD_RD_E],                         \
25640 +       &avr32_ifield_table[AVR32_IFIELD_RX],                           \
25641 +       &avr32_ifield_table[AVR32_IFIELD_RY],                           \
25642 +      },                                                                \
25643 +    },
25644 +
25645 +    AVR32_OPCODE_OP3_COND (ADD, 0, EQ, 0)
25646 +    AVR32_OPCODE_OP3_COND (ADD, 0, NE, 1)
25647 +    AVR32_OPCODE_OP3_COND (ADD, 0, CC, 2)
25648 +    AVR32_OPCODE_OP3_COND (ADD, 0, CS, 3)
25649 +    AVR32_OPCODE_OP3_COND (ADD, 0, GE, 4)
25650 +    AVR32_OPCODE_OP3_COND (ADD, 0, LT, 5)
25651 +    AVR32_OPCODE_OP3_COND (ADD, 0, MI, 6)
25652 +    AVR32_OPCODE_OP3_COND (ADD, 0, PL, 7)
25653 +    AVR32_OPCODE_OP3_COND (ADD, 0, LS, 8)
25654 +    AVR32_OPCODE_OP3_COND (ADD, 0, GT, 9)
25655 +    AVR32_OPCODE_OP3_COND (ADD, 0, LE, 10)
25656 +    AVR32_OPCODE_OP3_COND (ADD, 0, HI, 11)
25657 +    AVR32_OPCODE_OP3_COND (ADD, 0, VS, 12)
25658 +    AVR32_OPCODE_OP3_COND (ADD, 0, VC, 13)
25659 +    AVR32_OPCODE_OP3_COND (ADD, 0, QS, 14)
25660 +    AVR32_OPCODE_OP3_COND (ADD, 0, AL, 15)
25661 +
25662 +    AVR32_OPCODE_OP3_COND (SUB2, 1, EQ, 0)
25663 +    AVR32_OPCODE_OP3_COND (SUB2, 1, NE, 1)
25664 +    AVR32_OPCODE_OP3_COND (SUB2, 1, CC, 2)
25665 +    AVR32_OPCODE_OP3_COND (SUB2, 1, CS, 3)
25666 +    AVR32_OPCODE_OP3_COND (SUB2, 1, GE, 4)
25667 +    AVR32_OPCODE_OP3_COND (SUB2, 1, LT, 5)
25668 +    AVR32_OPCODE_OP3_COND (SUB2, 1, MI, 6)
25669 +    AVR32_OPCODE_OP3_COND (SUB2, 1, PL, 7)
25670 +    AVR32_OPCODE_OP3_COND (SUB2, 1, LS, 8)
25671 +    AVR32_OPCODE_OP3_COND (SUB2, 1, GT, 9)
25672 +    AVR32_OPCODE_OP3_COND (SUB2, 1, LE, 10)
25673 +    AVR32_OPCODE_OP3_COND (SUB2, 1, HI, 11)
25674 +    AVR32_OPCODE_OP3_COND (SUB2, 1, VS, 12)
25675 +    AVR32_OPCODE_OP3_COND (SUB2, 1, VC, 13)
25676 +    AVR32_OPCODE_OP3_COND (SUB2, 1, QS, 14)
25677 +    AVR32_OPCODE_OP3_COND (SUB2, 1, AL, 15)
25678 +
25679 +    AVR32_OPCODE_OP3_COND (AND, 2, EQ, 0)
25680 +    AVR32_OPCODE_OP3_COND (AND, 2, NE, 1)
25681 +    AVR32_OPCODE_OP3_COND (AND, 2, CC, 2)
25682 +    AVR32_OPCODE_OP3_COND (AND, 2, CS, 3)
25683 +    AVR32_OPCODE_OP3_COND (AND, 2, GE, 4)
25684 +    AVR32_OPCODE_OP3_COND (AND, 2, LT, 5)
25685 +    AVR32_OPCODE_OP3_COND (AND, 2, MI, 6)
25686 +    AVR32_OPCODE_OP3_COND (AND, 2, PL, 7)
25687 +    AVR32_OPCODE_OP3_COND (AND, 2, LS, 8)
25688 +    AVR32_OPCODE_OP3_COND (AND, 2, GT, 9)
25689 +    AVR32_OPCODE_OP3_COND (AND, 2, LE, 10)
25690 +    AVR32_OPCODE_OP3_COND (AND, 2, HI, 11)
25691 +    AVR32_OPCODE_OP3_COND (AND, 2, VS, 12)
25692 +    AVR32_OPCODE_OP3_COND (AND, 2, VC, 13)
25693 +    AVR32_OPCODE_OP3_COND (AND, 2, QS, 14)
25694 +    AVR32_OPCODE_OP3_COND (AND, 2, AL, 15)
25695 +
25696 +    AVR32_OPCODE_OP3_COND (OR, 3, EQ, 0)
25697 +    AVR32_OPCODE_OP3_COND (OR, 3, NE, 1)
25698 +    AVR32_OPCODE_OP3_COND (OR, 3, CC, 2)
25699 +    AVR32_OPCODE_OP3_COND (OR, 3, CS, 3)
25700 +    AVR32_OPCODE_OP3_COND (OR, 3, GE, 4)
25701 +    AVR32_OPCODE_OP3_COND (OR, 3, LT, 5)
25702 +    AVR32_OPCODE_OP3_COND (OR, 3, MI, 6)
25703 +    AVR32_OPCODE_OP3_COND (OR, 3, PL, 7)
25704 +    AVR32_OPCODE_OP3_COND (OR, 3, LS, 8)
25705 +    AVR32_OPCODE_OP3_COND (OR, 3, GT, 9)
25706 +    AVR32_OPCODE_OP3_COND (OR, 3, LE, 10)
25707 +    AVR32_OPCODE_OP3_COND (OR, 3, HI, 11)
25708 +    AVR32_OPCODE_OP3_COND (OR, 3, VS, 12)
25709 +    AVR32_OPCODE_OP3_COND (OR, 3, VC, 13)
25710 +    AVR32_OPCODE_OP3_COND (OR, 3, QS, 14)
25711 +    AVR32_OPCODE_OP3_COND (OR, 3, AL, 15)
25712 +
25713 +    AVR32_OPCODE_OP3_COND (EOR, 4, EQ, 0)
25714 +    AVR32_OPCODE_OP3_COND (EOR, 4, NE, 1)
25715 +    AVR32_OPCODE_OP3_COND (EOR, 4, CC, 2)
25716 +    AVR32_OPCODE_OP3_COND (EOR, 4, CS, 3)
25717 +    AVR32_OPCODE_OP3_COND (EOR, 4, GE, 4)
25718 +    AVR32_OPCODE_OP3_COND (EOR, 4, LT, 5)
25719 +    AVR32_OPCODE_OP3_COND (EOR, 4, MI, 6)
25720 +    AVR32_OPCODE_OP3_COND (EOR, 4, PL, 7)
25721 +    AVR32_OPCODE_OP3_COND (EOR, 4, LS, 8)
25722 +    AVR32_OPCODE_OP3_COND (EOR, 4, GT, 9)
25723 +    AVR32_OPCODE_OP3_COND (EOR, 4, LE, 10)
25724 +    AVR32_OPCODE_OP3_COND (EOR, 4, HI, 11)
25725 +    AVR32_OPCODE_OP3_COND (EOR, 4, VS, 12)
25726 +    AVR32_OPCODE_OP3_COND (EOR, 4, VC, 13)
25727 +    AVR32_OPCODE_OP3_COND (EOR, 4, QS, 14)
25728 +    AVR32_OPCODE_OP3_COND (EOR, 4, AL, 15) 
25729 +
25730 +#define AVR32_OPCODE_LD_COND(op_name, op_field, cond_name, cond_field)  \
25731 +    {                                                                   \
25732 +      AVR32_OPC_ ## op_name ## cond_name , 4,                           \
25733 +      0xe1f00000 | (cond_field << 12) | (op_field  << 9), 0xe1f0fe00,   \
25734 +      &avr32_syntax_table[AVR32_SYNTAX_ ## op_name ## cond_name ],      \
25735 +      BFD_RELOC_UNUSED, 3, -1,                                          \
25736 +      {                                                                 \
25737 +       &avr32_ifield_table[AVR32_IFIELD_RY],                           \
25738 +       &avr32_ifield_table[AVR32_IFIELD_RX],                           \
25739 +       &avr32_ifield_table[AVR32_IFIELD_K9E],                          \
25740 +      },                                                                \
25741 +    },
25742 +    
25743 +#define AVR32_OPCODE_ST_COND(op_name, op_field, cond_name, cond_field)  \
25744 +    {                                                                   \
25745 +      AVR32_OPC_ ## op_name ## cond_name , 4,                           \
25746 +      0xe1f00000 | (cond_field << 12) | (op_field  << 9), 0xe1f0fe00,   \
25747 +      &avr32_syntax_table[AVR32_SYNTAX_ ## op_name ## cond_name ],      \
25748 +      BFD_RELOC_UNUSED, 3, -1,                                          \
25749 +      {                                                                 \
25750 +       &avr32_ifield_table[AVR32_IFIELD_RX],                           \
25751 +       &avr32_ifield_table[AVR32_IFIELD_K9E],                          \
25752 +       &avr32_ifield_table[AVR32_IFIELD_RY],                           \
25753 +      },                                                                \
25754 +    },
25755 +
25756 +    AVR32_OPCODE_LD_COND (LD_W, 0, EQ, 0) 
25757 +    AVR32_OPCODE_LD_COND (LD_W, 0, NE, 1) 
25758 +    AVR32_OPCODE_LD_COND (LD_W, 0, CC, 2) 
25759 +    AVR32_OPCODE_LD_COND (LD_W, 0, CS, 3) 
25760 +    AVR32_OPCODE_LD_COND (LD_W, 0, GE, 4) 
25761 +    AVR32_OPCODE_LD_COND (LD_W, 0, LT, 5) 
25762 +    AVR32_OPCODE_LD_COND (LD_W, 0, MI, 6) 
25763 +    AVR32_OPCODE_LD_COND (LD_W, 0, PL, 7) 
25764 +    AVR32_OPCODE_LD_COND (LD_W, 0, LS, 8) 
25765 +    AVR32_OPCODE_LD_COND (LD_W, 0, GT, 9) 
25766 +    AVR32_OPCODE_LD_COND (LD_W, 0, LE, 10) 
25767 +    AVR32_OPCODE_LD_COND (LD_W, 0, HI, 11) 
25768 +    AVR32_OPCODE_LD_COND (LD_W, 0, VS, 12) 
25769 +    AVR32_OPCODE_LD_COND (LD_W, 0, VC, 13) 
25770 +    AVR32_OPCODE_LD_COND (LD_W, 0, QS, 14) 
25771 +    AVR32_OPCODE_LD_COND (LD_W, 0, AL, 15) 
25772 +
25773 +    AVR32_OPCODE_LD_COND (LD_SH, 1, EQ, 0) 
25774 +    AVR32_OPCODE_LD_COND (LD_SH, 1, NE, 1) 
25775 +    AVR32_OPCODE_LD_COND (LD_SH, 1, CC, 2) 
25776 +    AVR32_OPCODE_LD_COND (LD_SH, 1, CS, 3) 
25777 +    AVR32_OPCODE_LD_COND (LD_SH, 1, GE, 4) 
25778 +    AVR32_OPCODE_LD_COND (LD_SH, 1, LT, 5) 
25779 +    AVR32_OPCODE_LD_COND (LD_SH, 1, MI, 6) 
25780 +    AVR32_OPCODE_LD_COND (LD_SH, 1, PL, 7) 
25781 +    AVR32_OPCODE_LD_COND (LD_SH, 1, LS, 8) 
25782 +    AVR32_OPCODE_LD_COND (LD_SH, 1, GT, 9) 
25783 +    AVR32_OPCODE_LD_COND (LD_SH, 1, LE, 10) 
25784 +    AVR32_OPCODE_LD_COND (LD_SH, 1, HI, 11) 
25785 +    AVR32_OPCODE_LD_COND (LD_SH, 1, VS, 12) 
25786 +    AVR32_OPCODE_LD_COND (LD_SH, 1, VC, 13) 
25787 +    AVR32_OPCODE_LD_COND (LD_SH, 1, QS, 14) 
25788 +    AVR32_OPCODE_LD_COND (LD_SH, 1, AL, 15) 
25789 +
25790 +    AVR32_OPCODE_LD_COND (LD_UH, 2, EQ, 0) 
25791 +    AVR32_OPCODE_LD_COND (LD_UH, 2, NE, 1) 
25792 +    AVR32_OPCODE_LD_COND (LD_UH, 2, CC, 2) 
25793 +    AVR32_OPCODE_LD_COND (LD_UH, 2, CS, 3) 
25794 +    AVR32_OPCODE_LD_COND (LD_UH, 2, GE, 4) 
25795 +    AVR32_OPCODE_LD_COND (LD_UH, 2, LT, 5) 
25796 +    AVR32_OPCODE_LD_COND (LD_UH, 2, MI, 6) 
25797 +    AVR32_OPCODE_LD_COND (LD_UH, 2, PL, 7) 
25798 +    AVR32_OPCODE_LD_COND (LD_SH, 2, LS, 8) 
25799 +    AVR32_OPCODE_LD_COND (LD_SH, 2, GT, 9) 
25800 +    AVR32_OPCODE_LD_COND (LD_SH, 2, LE, 10) 
25801 +    AVR32_OPCODE_LD_COND (LD_SH, 2, HI, 11) 
25802 +    AVR32_OPCODE_LD_COND (LD_SH, 2, VS, 12) 
25803 +    AVR32_OPCODE_LD_COND (LD_SH, 2, VC, 13) 
25804 +    AVR32_OPCODE_LD_COND (LD_SH, 2, QS, 14) 
25805 +    AVR32_OPCODE_LD_COND (LD_SH, 2, AL, 15) 
25806 +
25807 +    AVR32_OPCODE_LD_COND (LD_SB, 3, EQ, 0) 
25808 +    AVR32_OPCODE_LD_COND (LD_SB, 3, NE, 1) 
25809 +    AVR32_OPCODE_LD_COND (LD_SB, 3, CC, 2) 
25810 +    AVR32_OPCODE_LD_COND (LD_SB, 3, CS, 3) 
25811 +    AVR32_OPCODE_LD_COND (LD_SB, 3, GE, 4) 
25812 +    AVR32_OPCODE_LD_COND (LD_SB, 3, LT, 5) 
25813 +    AVR32_OPCODE_LD_COND (LD_SB, 3, MI, 6) 
25814 +    AVR32_OPCODE_LD_COND (LD_SB, 3, PL, 7) 
25815 +    AVR32_OPCODE_LD_COND (LD_SB, 3, LS, 8) 
25816 +    AVR32_OPCODE_LD_COND (LD_SB, 3, GT, 9) 
25817 +    AVR32_OPCODE_LD_COND (LD_SB, 3, LE, 10) 
25818 +    AVR32_OPCODE_LD_COND (LD_SB, 3, HI, 11) 
25819 +    AVR32_OPCODE_LD_COND (LD_SB, 3, VS, 12) 
25820 +    AVR32_OPCODE_LD_COND (LD_SB, 3, VC, 13) 
25821 +    AVR32_OPCODE_LD_COND (LD_SB, 3, QS, 14) 
25822 +    AVR32_OPCODE_LD_COND (LD_SB, 3, AL, 15) 
25823 +
25824 +    AVR32_OPCODE_LD_COND (LD_UB, 4, EQ, 0) 
25825 +    AVR32_OPCODE_LD_COND (LD_UB, 4, NE, 1) 
25826 +    AVR32_OPCODE_LD_COND (LD_UB, 4, CC, 2) 
25827 +    AVR32_OPCODE_LD_COND (LD_UB, 4, CS, 3) 
25828 +    AVR32_OPCODE_LD_COND (LD_UB, 4, GE, 4) 
25829 +    AVR32_OPCODE_LD_COND (LD_UB, 4, LT, 5) 
25830 +    AVR32_OPCODE_LD_COND (LD_UB, 4, MI, 6) 
25831 +    AVR32_OPCODE_LD_COND (LD_UB, 4, PL, 7) 
25832 +    AVR32_OPCODE_LD_COND (LD_UB, 4, LS, 8) 
25833 +    AVR32_OPCODE_LD_COND (LD_UB, 4, GT, 9) 
25834 +    AVR32_OPCODE_LD_COND (LD_UB, 4, LE, 10) 
25835 +    AVR32_OPCODE_LD_COND (LD_UB, 4, HI, 11) 
25836 +    AVR32_OPCODE_LD_COND (LD_UB, 4, VS, 12) 
25837 +    AVR32_OPCODE_LD_COND (LD_UB, 4, VC, 13) 
25838 +    AVR32_OPCODE_LD_COND (LD_UB, 4, QS, 14) 
25839 +    AVR32_OPCODE_LD_COND (LD_UB, 4, AL, 15) 
25840 +
25841 +    AVR32_OPCODE_ST_COND (ST_W, 5, EQ, 0) 
25842 +    AVR32_OPCODE_ST_COND (ST_W, 5, NE, 1) 
25843 +    AVR32_OPCODE_ST_COND (ST_W, 5, CC, 2) 
25844 +    AVR32_OPCODE_ST_COND (ST_W, 5, CS, 3) 
25845 +    AVR32_OPCODE_ST_COND (ST_W, 5, GE, 4) 
25846 +    AVR32_OPCODE_ST_COND (ST_W, 5, LT, 5) 
25847 +    AVR32_OPCODE_ST_COND (ST_W, 5, MI, 6) 
25848 +    AVR32_OPCODE_ST_COND (ST_W, 5, PL, 7) 
25849 +    AVR32_OPCODE_ST_COND (ST_W, 5, LS, 8) 
25850 +    AVR32_OPCODE_ST_COND (ST_W, 5, GT, 9) 
25851 +    AVR32_OPCODE_ST_COND (ST_W, 5, LE, 10) 
25852 +    AVR32_OPCODE_ST_COND (ST_W, 5, HI, 11) 
25853 +    AVR32_OPCODE_ST_COND (ST_W, 5, VS, 12) 
25854 +    AVR32_OPCODE_ST_COND (ST_W, 5, VC, 13) 
25855 +    AVR32_OPCODE_ST_COND (ST_W, 5, QS, 14) 
25856 +    AVR32_OPCODE_ST_COND (ST_W, 5, AL, 15) 
25857 +
25858 +    AVR32_OPCODE_ST_COND (ST_H, 6, EQ, 0) 
25859 +    AVR32_OPCODE_ST_COND (ST_H, 6, NE, 1) 
25860 +    AVR32_OPCODE_ST_COND (ST_H, 6, CC, 2) 
25861 +    AVR32_OPCODE_ST_COND (ST_H, 6, CS, 3) 
25862 +    AVR32_OPCODE_ST_COND (ST_H, 6, GE, 4) 
25863 +    AVR32_OPCODE_ST_COND (ST_H, 6, LT, 5) 
25864 +    AVR32_OPCODE_ST_COND (ST_H, 6, MI, 6) 
25865 +    AVR32_OPCODE_ST_COND (ST_H, 6, PL, 7) 
25866 +    AVR32_OPCODE_ST_COND (ST_H, 6, LS, 8) 
25867 +    AVR32_OPCODE_ST_COND (ST_H, 6, GT, 9) 
25868 +    AVR32_OPCODE_ST_COND (ST_H, 6, LE, 10) 
25869 +    AVR32_OPCODE_ST_COND (ST_H, 6, HI, 11) 
25870 +    AVR32_OPCODE_ST_COND (ST_H, 6, VS, 12) 
25871 +    AVR32_OPCODE_ST_COND (ST_H, 6, VC, 13) 
25872 +    AVR32_OPCODE_ST_COND (ST_H, 6, QS, 14) 
25873 +    AVR32_OPCODE_ST_COND (ST_H, 6, AL, 15) 
25874 +
25875 +    AVR32_OPCODE_ST_COND (ST_B, 7, EQ, 0) 
25876 +    AVR32_OPCODE_ST_COND (ST_B, 7, NE, 1) 
25877 +    AVR32_OPCODE_ST_COND (ST_B, 7, CC, 2) 
25878 +    AVR32_OPCODE_ST_COND (ST_B, 7, CS, 3) 
25879 +    AVR32_OPCODE_ST_COND (ST_B, 7, GE, 4) 
25880 +    AVR32_OPCODE_ST_COND (ST_B, 7, LT, 5) 
25881 +    AVR32_OPCODE_ST_COND (ST_B, 7, MI, 6) 
25882 +    AVR32_OPCODE_ST_COND (ST_B, 7, PL, 7) 
25883 +    AVR32_OPCODE_ST_COND (ST_B, 7, LS, 8) 
25884 +    AVR32_OPCODE_ST_COND (ST_B, 7, GT, 9) 
25885 +    AVR32_OPCODE_ST_COND (ST_B, 7, LE, 10) 
25886 +    AVR32_OPCODE_ST_COND (ST_B, 7, HI, 11) 
25887 +    AVR32_OPCODE_ST_COND (ST_B, 7, VS, 12) 
25888 +    AVR32_OPCODE_ST_COND (ST_B, 7, VC, 13) 
25889 +    AVR32_OPCODE_ST_COND (ST_B, 7, QS, 14) 
25890 +    AVR32_OPCODE_ST_COND (ST_B, 7, AL, 15) 
25891 +
25892 +    {
25893 +      AVR32_OPC_MOVH, 4, 0xfc100000, 0xfff00000,
25894 +      &avr32_syntax_table[AVR32_SYNTAX_MOVH],
25895 +      BFD_RELOC_AVR32_16U,  2, 1,
25896 +      {
25897 +       &avr32_ifield_table[AVR32_IFIELD_RY],
25898 +       &avr32_ifield_table[AVR32_IFIELD_K16],
25899 +      },
25900 +    },
25901 +    {
25902 +      AVR32_OPC_SSCALL, 2, 0xd7530000, 0xffff0000,
25903 +      &avr32_syntax_table[AVR32_SYNTAX_SSCALL],
25904 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
25905 +    },
25906 +    {
25907 +      AVR32_OPC_RETSS, 2, 0xd7630000, 0xffff0000,
25908 +      &avr32_syntax_table[AVR32_SYNTAX_RETSS],
25909 +      BFD_RELOC_UNUSED, 0, -1, { NULL },
25910 +    },
25911 +
25912 +  {
25913 +    AVR32_OPC_FMAC_S, 4, 0xE1A00000, 0xFFF0F000,
25914 +    &avr32_syntax_table[AVR32_SYNTAX_FMAC_S],
25915 +    BFD_RELOC_UNUSED, 4, -1,
25916 +    {
25917 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
25918 +      &avr32_ifield_table[AVR32_IFIELD_FP_RA],
25919 +      &avr32_ifield_table[AVR32_IFIELD_FP_RX],
25920 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
25921 +    }
25922 +  },
25923 +  {
25924 +    AVR32_OPC_FNMAC_S, 4, 0xE1A01000, 0xFFF0F000,
25925 +    &avr32_syntax_table[AVR32_SYNTAX_FNMAC_S],
25926 +    BFD_RELOC_UNUSED, 4, -1,
25927 +    {
25928 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
25929 +      &avr32_ifield_table[AVR32_IFIELD_FP_RA],
25930 +      &avr32_ifield_table[AVR32_IFIELD_FP_RX],
25931 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
25932 +    }
25933 +  },
25934 +  {
25935 +    AVR32_OPC_FMSC_S, 4, 0xE3A00000, 0xFFF0F000,
25936 +    &avr32_syntax_table[AVR32_SYNTAX_FMSC_S],
25937 +    BFD_RELOC_UNUSED, 4, -1,
25938 +    {
25939 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
25940 +      &avr32_ifield_table[AVR32_IFIELD_FP_RA],
25941 +      &avr32_ifield_table[AVR32_IFIELD_FP_RX],
25942 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
25943 +    }
25944 +  },
25945 +  {
25946 +    AVR32_OPC_FNMSC_S, 4, 0xE3A01000, 0xFFF0F000,
25947 +    &avr32_syntax_table[AVR32_SYNTAX_FNMSC_S],
25948 +    BFD_RELOC_UNUSED, 4, -1,
25949 +    {
25950 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
25951 +      &avr32_ifield_table[AVR32_IFIELD_FP_RA],
25952 +      &avr32_ifield_table[AVR32_IFIELD_FP_RX],
25953 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
25954 +    }
25955 +  },
25956 +  {
25957 +    AVR32_OPC_FMUL_S, 4, 0xE5A20000, 0xFFFFF000,
25958 +    &avr32_syntax_table[AVR32_SYNTAX_FMUL_S],
25959 +    BFD_RELOC_UNUSED, 3, -1,
25960 +    {
25961 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
25962 +      &avr32_ifield_table[AVR32_IFIELD_FP_RX],
25963 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
25964 +    }
25965 +  },
25966 +  {
25967 +    AVR32_OPC_FNMUL_S, 4, 0xE5A30000, 0xFFFFF000,
25968 +    &avr32_syntax_table[AVR32_SYNTAX_FNMUL_S],
25969 +    BFD_RELOC_UNUSED, 3, -1,
25970 +    {
25971 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
25972 +      &avr32_ifield_table[AVR32_IFIELD_FP_RX],
25973 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
25974 +    }
25975 +  },
25976 +  {
25977 +    AVR32_OPC_FADD_S, 4, 0xE5A00000, 0xFFFFF000,
25978 +    &avr32_syntax_table[AVR32_SYNTAX_FADD_S],
25979 +    BFD_RELOC_UNUSED, 3, -1,
25980 +    {
25981 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
25982 +      &avr32_ifield_table[AVR32_IFIELD_FP_RX],
25983 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
25984 +    }
25985 +  },
25986 +  {
25987 +    AVR32_OPC_FSUB_S, 4, 0xE5A10000, 0xFFFFF000,
25988 +    &avr32_syntax_table[AVR32_SYNTAX_FSUB_S],
25989 +    BFD_RELOC_UNUSED, 3, -1,
25990 +    {
25991 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
25992 +      &avr32_ifield_table[AVR32_IFIELD_FP_RX],
25993 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
25994 +    }
25995 +  },
25996 +  {
25997 +    AVR32_OPC_FCASTRS_SW, 4, 0xE5AB0000, 0xFFFFF0F0,
25998 +    &avr32_syntax_table[AVR32_SYNTAX_FCASTRS_SW],
25999 +    BFD_RELOC_UNUSED, 2, -1,
26000 +    {
26001 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
26002 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
26003 +    }
26004 +  },
26005 +  {
26006 +    AVR32_OPC_FCASTRS_UW, 4, 0xE5A90000, 0xFFFFF0F0,
26007 +    &avr32_syntax_table[AVR32_SYNTAX_FCASTRS_UW],
26008 +    BFD_RELOC_UNUSED, 2, -1,
26009 +    {
26010 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
26011 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
26012 +    }
26013 +  },
26014 +  {
26015 +    AVR32_OPC_FCASTSW_S, 4, 0xE5A60000, 0xFFFFF0F0,
26016 +    &avr32_syntax_table[AVR32_SYNTAX_FCASTSW_S],
26017 +    BFD_RELOC_UNUSED, 2, -1,
26018 +    {
26019 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
26020 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
26021 +    }
26022 +  },
26023 +  {
26024 +    AVR32_OPC_FCASTUW_S, 4, 0xE5A40000, 0xFFFFF0F0,
26025 +    &avr32_syntax_table[AVR32_SYNTAX_FCASTUW_S],
26026 +    BFD_RELOC_UNUSED, 2, -1,
26027 +    {
26028 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
26029 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
26030 +    }
26031 +  },
26032 +  {
26033 +    AVR32_OPC_FCMP_S, 4, 0xE5AC0000, 0xFFFFFF00,
26034 +    &avr32_syntax_table[AVR32_SYNTAX_FCMP_S],
26035 +    BFD_RELOC_UNUSED, 2, -1,
26036 +    {
26037 +      &avr32_ifield_table[AVR32_IFIELD_FP_RX],
26038 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
26039 +    }
26040 +  },
26041 +  {
26042 +    AVR32_OPC_FCHK_S, 4, 0xE5AD0000, 0xFFFFFFF0,
26043 +    &avr32_syntax_table[AVR32_SYNTAX_FCHK_S],
26044 +    BFD_RELOC_UNUSED, 1, -1,
26045 +    {
26046 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
26047 +    }
26048 +  },
26049 +  {
26050 +    AVR32_OPC_FRCPA_S, 4, 0xE5AE0000, 0xFFFFF0F0,
26051 +    &avr32_syntax_table[AVR32_SYNTAX_FRCPA_S],
26052 +    BFD_RELOC_UNUSED, 2, -1,
26053 +    {
26054 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
26055 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
26056 +    }
26057 +  },
26058 +  {
26059 +    AVR32_OPC_FRSQRTA_S, 4, 0xE5AF0000, 0xFFFFF0F0,
26060 +    &avr32_syntax_table[AVR32_SYNTAX_FRSQRTA_S],
26061 +    BFD_RELOC_UNUSED, 2, -1,
26062 +    {
26063 +      &avr32_ifield_table[AVR32_IFIELD_FP_RD],
26064 +      &avr32_ifield_table[AVR32_IFIELD_FP_RY]
26065 +    }
26066 +  }
26067 +
26068 +};
26069 +
26070 +
26071 +const struct avr32_alias avr32_alias_table[] =
26072 +  {
26073 +    {
26074 +      AVR32_ALIAS_PICOSVMAC0,
26075 +      &avr32_opc_table[AVR32_OPC_COP],
26076 +      {
26077 +       { 0, PICO_CPNO },
26078 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26079 +       { 0, 0x0c },
26080 +      },
26081 +    },
26082 +    {
26083 +      AVR32_ALIAS_PICOSVMAC1,
26084 +      &avr32_opc_table[AVR32_OPC_COP],
26085 +      {
26086 +       { 0, PICO_CPNO },
26087 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26088 +       { 0, 0x0d },
26089 +      },
26090 +    },
26091 +    {
26092 +      AVR32_ALIAS_PICOSVMAC2,
26093 +      &avr32_opc_table[AVR32_OPC_COP],
26094 +      {
26095 +       { 0, PICO_CPNO },
26096 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26097 +       { 0, 0x0e },
26098 +      },
26099 +    },
26100 +    {
26101 +      AVR32_ALIAS_PICOSVMAC3,
26102 +      &avr32_opc_table[AVR32_OPC_COP],
26103 +      {
26104 +       { 0, PICO_CPNO },
26105 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26106 +       { 0, 0x0f },
26107 +      },
26108 +    },
26109 +    {
26110 +      AVR32_ALIAS_PICOSVMUL0,
26111 +      &avr32_opc_table[AVR32_OPC_COP],
26112 +      {
26113 +       { 0, PICO_CPNO },
26114 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26115 +       { 0, 0x08 },
26116 +      },
26117 +    },
26118 +    {
26119 +      AVR32_ALIAS_PICOSVMUL1,
26120 +      &avr32_opc_table[AVR32_OPC_COP],
26121 +      {
26122 +       { 0, PICO_CPNO },
26123 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26124 +       { 0, 0x09 },
26125 +      },
26126 +    },
26127 +    {
26128 +      AVR32_ALIAS_PICOSVMUL2,
26129 +      &avr32_opc_table[AVR32_OPC_COP],
26130 +      {
26131 +       { 0, PICO_CPNO },
26132 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26133 +       { 0, 0x0a },
26134 +      },
26135 +    },
26136 +    {
26137 +      AVR32_ALIAS_PICOSVMUL3,
26138 +      &avr32_opc_table[AVR32_OPC_COP],
26139 +      {
26140 +       { 0, PICO_CPNO },
26141 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26142 +       { 0, 0x0b },
26143 +      },
26144 +    },
26145 +    {
26146 +      AVR32_ALIAS_PICOVMAC0,
26147 +      &avr32_opc_table[AVR32_OPC_COP],
26148 +      {
26149 +       { 0, PICO_CPNO },
26150 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26151 +       { 0, 0x04 },
26152 +      },
26153 +    },
26154 +    {
26155 +      AVR32_ALIAS_PICOVMAC1,
26156 +      &avr32_opc_table[AVR32_OPC_COP],
26157 +      {
26158 +       { 0, PICO_CPNO },
26159 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26160 +       { 0, 0x05 },
26161 +      },
26162 +    },
26163 +    {
26164 +      AVR32_ALIAS_PICOVMAC2,
26165 +      &avr32_opc_table[AVR32_OPC_COP],
26166 +      {
26167 +       { 0, PICO_CPNO },
26168 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26169 +       { 0, 0x06 },
26170 +      },
26171 +    },
26172 +    {
26173 +      AVR32_ALIAS_PICOVMAC3,
26174 +      &avr32_opc_table[AVR32_OPC_COP],
26175 +      {
26176 +       { 0, PICO_CPNO },
26177 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26178 +       { 0, 0x07 },
26179 +      },
26180 +    },
26181 +    {
26182 +      AVR32_ALIAS_PICOVMUL0,
26183 +      &avr32_opc_table[AVR32_OPC_COP],
26184 +      {
26185 +       { 0, PICO_CPNO },
26186 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26187 +       { 0, 0x00 },
26188 +      },
26189 +    },
26190 +    {
26191 +      AVR32_ALIAS_PICOVMUL1,
26192 +      &avr32_opc_table[AVR32_OPC_COP],
26193 +      {
26194 +       { 0, PICO_CPNO },
26195 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26196 +       { 0, 0x01 },
26197 +      },
26198 +    },
26199 +    {
26200 +      AVR32_ALIAS_PICOVMUL2,
26201 +      &avr32_opc_table[AVR32_OPC_COP],
26202 +      {
26203 +       { 0, PICO_CPNO },
26204 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26205 +       { 0, 0x02 },
26206 +      },
26207 +    },
26208 +    {
26209 +      AVR32_ALIAS_PICOVMUL3,
26210 +      &avr32_opc_table[AVR32_OPC_COP],
26211 +      {
26212 +       { 0, PICO_CPNO },
26213 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26214 +       { 0, 0x03 },
26215 +      },
26216 +    },
26217 +    {
26218 +      AVR32_ALIAS_PICOLD_D1,
26219 +      &avr32_opc_table[AVR32_OPC_LDC_D1],
26220 +      {
26221 +       { 0, PICO_CPNO },
26222 +       { 1, 0 }, { 1, 1 },
26223 +      },
26224 +    },
26225 +    {
26226 +      AVR32_ALIAS_PICOLD_D2,
26227 +      &avr32_opc_table[AVR32_OPC_LDC_D2],
26228 +      {
26229 +       { 0, PICO_CPNO },
26230 +       { 1, 0 }, { 1, 1 },
26231 +      },
26232 +    },
26233 +    {
26234 +      AVR32_ALIAS_PICOLD_D3,
26235 +      &avr32_opc_table[AVR32_OPC_LDC_D3],
26236 +      {
26237 +       { 0, PICO_CPNO },
26238 +       { 1, 0 }, { 1, 1 }, { 1, 2 }, { 1, 3 },
26239 +      },
26240 +    },
26241 +    {
26242 +      AVR32_ALIAS_PICOLD_W1,
26243 +      &avr32_opc_table[AVR32_OPC_LDC_W1],
26244 +      {
26245 +       { 0, PICO_CPNO },
26246 +       { 1, 0 }, { 1, 1 },
26247 +      },
26248 +    },
26249 +    {
26250 +      AVR32_ALIAS_PICOLD_W2,
26251 +      &avr32_opc_table[AVR32_OPC_LDC_W2],
26252 +      {
26253 +       { 0, PICO_CPNO },
26254 +       { 1, 0 }, { 1, 1 },
26255 +      },
26256 +    },
26257 +    {
26258 +      AVR32_ALIAS_PICOLD_W3,
26259 +      &avr32_opc_table[AVR32_OPC_LDC_W3],
26260 +      {
26261 +       { 0, PICO_CPNO },
26262 +       { 1, 0 }, { 1, 1 }, { 1, 2 }, { 1, 3 },
26263 +      },
26264 +    },
26265 +    {
26266 +      AVR32_ALIAS_PICOLDM_D,
26267 +      &avr32_opc_table[AVR32_OPC_LDCM_D],
26268 +      {
26269 +       { 0, PICO_CPNO },
26270 +       { 1, 0 }, { 1, 1 },
26271 +      },
26272 +    },
26273 +    {
26274 +      AVR32_ALIAS_PICOLDM_D_PU,
26275 +      &avr32_opc_table[AVR32_OPC_LDCM_D_PU],
26276 +      {
26277 +       { 0, PICO_CPNO },
26278 +       { 1, 0 }, { 1, 1 },
26279 +      },
26280 +    },
26281 +    {
26282 +      AVR32_ALIAS_PICOLDM_W,
26283 +      &avr32_opc_table[AVR32_OPC_LDCM_W],
26284 +      {
26285 +       { 0, PICO_CPNO },
26286 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26287 +      },
26288 +    },
26289 +    {
26290 +      AVR32_ALIAS_PICOLDM_W_PU,
26291 +      &avr32_opc_table[AVR32_OPC_LDCM_W_PU],
26292 +      {
26293 +       { 0, PICO_CPNO },
26294 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26295 +      },
26296 +    },
26297 +    {
26298 +      AVR32_ALIAS_PICOMV_D1,
26299 +      &avr32_opc_table[AVR32_OPC_MVCR_D],
26300 +      {
26301 +       { 0, PICO_CPNO },
26302 +       { 1, 0 }, { 1, 1 },
26303 +      },
26304 +    },
26305 +    {
26306 +      AVR32_ALIAS_PICOMV_D2,
26307 +      &avr32_opc_table[AVR32_OPC_MVRC_D],
26308 +      {
26309 +       { 0, PICO_CPNO },
26310 +       { 1, 0 }, { 1, 1 },
26311 +      },
26312 +    },
26313 +    {
26314 +      AVR32_ALIAS_PICOMV_W1,
26315 +      &avr32_opc_table[AVR32_OPC_MVCR_W],
26316 +      {
26317 +       { 0, PICO_CPNO },
26318 +       { 1, 0 }, { 1, 1 },
26319 +      },
26320 +    },
26321 +    {
26322 +      AVR32_ALIAS_PICOMV_W2,
26323 +      &avr32_opc_table[AVR32_OPC_MVRC_W],
26324 +      {
26325 +       { 0, PICO_CPNO },
26326 +       { 1, 0 }, { 1, 1 },
26327 +      },
26328 +    },
26329 +    {
26330 +      AVR32_ALIAS_PICOST_D1,
26331 +      &avr32_opc_table[AVR32_OPC_STC_D1],
26332 +      {
26333 +       { 0, PICO_CPNO },
26334 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26335 +      },
26336 +    },
26337 +    {
26338 +      AVR32_ALIAS_PICOST_D2,
26339 +      &avr32_opc_table[AVR32_OPC_STC_D2],
26340 +      {
26341 +       { 0, PICO_CPNO },
26342 +       { 1, 0 }, { 1, 1 },
26343 +      },
26344 +    },
26345 +    {
26346 +      AVR32_ALIAS_PICOST_D3,
26347 +      &avr32_opc_table[AVR32_OPC_STC_D3],
26348 +      {
26349 +       { 0, PICO_CPNO },
26350 +       { 1, 0 }, { 1, 1 }, { 1, 2 }, { 1, 3 },
26351 +      },
26352 +    },
26353 +    {
26354 +      AVR32_ALIAS_PICOST_W1,
26355 +      &avr32_opc_table[AVR32_OPC_STC_W1],
26356 +      {
26357 +       { 0, PICO_CPNO },
26358 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26359 +      },
26360 +    },
26361 +    {
26362 +      AVR32_ALIAS_PICOST_W2,
26363 +      &avr32_opc_table[AVR32_OPC_STC_W2],
26364 +      {
26365 +       { 0, PICO_CPNO },
26366 +       { 1, 0 }, { 1, 1 },
26367 +      },
26368 +    },
26369 +    {
26370 +      AVR32_ALIAS_PICOST_W3,
26371 +      &avr32_opc_table[AVR32_OPC_STC_W3],
26372 +      {
26373 +       { 0, PICO_CPNO },
26374 +       { 1, 0 }, { 1, 1 }, { 1, 2 }, { 1, 3 },
26375 +      },
26376 +    },
26377 +    {
26378 +      AVR32_ALIAS_PICOSTM_D,
26379 +      &avr32_opc_table[AVR32_OPC_STCM_D],
26380 +      {
26381 +       { 0, PICO_CPNO },
26382 +       { 1, 0 }, { 1, 1 },
26383 +      },
26384 +    },
26385 +    {
26386 +      AVR32_ALIAS_PICOSTM_D_PU,
26387 +      &avr32_opc_table[AVR32_OPC_STCM_D_PU],
26388 +      {
26389 +       { 0, PICO_CPNO },
26390 +       { 1, 0 }, { 1, 1 },
26391 +      },
26392 +    },
26393 +    {
26394 +      AVR32_ALIAS_PICOSTM_W,
26395 +      &avr32_opc_table[AVR32_OPC_STCM_W],
26396 +      {
26397 +       { 0, PICO_CPNO },
26398 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26399 +      },
26400 +    },
26401 +    {
26402 +      AVR32_ALIAS_PICOSTM_W_PU,
26403 +      &avr32_opc_table[AVR32_OPC_STCM_W_PU],
26404 +      {
26405 +       { 0, PICO_CPNO },
26406 +       { 1, 0 }, { 1, 1 }, { 1, 2 },
26407 +      },
26408 +    },
26409 +  };
26410 +
26411 +
26412 +#define SYNTAX_NORMAL0(id, mne, opc, arch)                     \
26413 +  {                                                    \
26414 +    AVR32_SYNTAX_##id, arch,                   \
26415 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26416 +    AVR32_PARSER_NORMAL,                                       \
26417 +    { &avr32_opc_table[AVR32_OPC_##opc], },            \
26418 +    NULL, 0, { }                                       \
26419 +  }
26420 +#define SYNTAX_NORMAL1(id, mne, opc, op0, arch)                \
26421 +  {                                                    \
26422 +    AVR32_SYNTAX_##id, arch,                   \
26423 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26424 +    AVR32_PARSER_NORMAL,                                       \
26425 +    { &avr32_opc_table[AVR32_OPC_##opc], },            \
26426 +    NULL, 1,                                           \
26427 +    {                                                  \
26428 +      AVR32_OPERAND_##op0,                             \
26429 +    }                                                  \
26430 +  }
26431 +#define SYNTAX_NORMALM1(id, mne, opc, op0, arch)               \
26432 +  {                                                    \
26433 +    AVR32_SYNTAX_##id, arch,                   \
26434 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26435 +    AVR32_PARSER_NORMAL,                                       \
26436 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26437 +    NULL, -1,                                          \
26438 +    {                                                  \
26439 +      AVR32_OPERAND_##op0,                             \
26440 +    }                                                  \
26441 +  }
26442 +#define SYNTAX_NORMAL2(id, mne, opc, op0, op1, arch)           \
26443 +  {                                                    \
26444 +    AVR32_SYNTAX_##id, arch,                   \
26445 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26446 +    AVR32_PARSER_NORMAL,                                       \
26447 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26448 +    NULL, 2,                                           \
26449 +    {                                                  \
26450 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                \
26451 +    }                                                  \
26452 +  }
26453 +#define SYNTAX_NORMALM2(id, mne, opc, op0, op1, arch)          \
26454 +  {                                                    \
26455 +    AVR32_SYNTAX_##id, arch,                   \
26456 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26457 +    AVR32_PARSER_NORMAL,                                       \
26458 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26459 +    NULL, -2,                                          \
26460 +    {                                                  \
26461 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                \
26462 +    }                                                  \
26463 +  }
26464 +#define SYNTAX_NORMAL3(id, mne, opc, op0, op1, op2, arch)      \
26465 +  {                                                    \
26466 +    AVR32_SYNTAX_##id, arch,                   \
26467 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26468 +    AVR32_PARSER_NORMAL,                                       \
26469 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26470 +    NULL, 3,                                           \
26471 +    {                                                  \
26472 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                \
26473 +      AVR32_OPERAND_##op2,                             \
26474 +    }                                                  \
26475 +  }
26476 +#define SYNTAX_NORMALM3(id, mne, opc, op0, op1, op2, arch)     \
26477 +  {                                                    \
26478 +    AVR32_SYNTAX_##id, arch,                   \
26479 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26480 +    AVR32_PARSER_NORMAL,                                       \
26481 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26482 +    NULL, -3,                                          \
26483 +    {                                                  \
26484 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                \
26485 +      AVR32_OPERAND_##op2,                             \
26486 +    }                                                  \
26487 +  }
26488 +#define SYNTAX_NORMAL4(id, mne, opc, op0, op1, op2, op3, arch)\
26489 +  {                                                    \
26490 +    AVR32_SYNTAX_##id, arch,                   \
26491 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26492 +    AVR32_PARSER_NORMAL,                                       \
26493 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26494 +    NULL, 4,                                           \
26495 +    {                                                  \
26496 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                \
26497 +      AVR32_OPERAND_##op2, AVR32_OPERAND_##op3,                \
26498 +    }                                                  \
26499 +  }
26500 +#define SYNTAX_NORMAL5(id, mne, opc, op0, op1, op2, op3, op4, arch)    \
26501 +  {                                                            \
26502 +    AVR32_SYNTAX_##id, arch,                           \
26503 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],               \
26504 +    AVR32_PARSER_NORMAL,                                               \
26505 +    { &avr32_opc_table[AVR32_OPC_##opc], },                            \
26506 +    NULL, 5,                                                   \
26507 +    {                                                          \
26508 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                        \
26509 +      AVR32_OPERAND_##op2, AVR32_OPERAND_##op3,                        \
26510 +      AVR32_OPERAND_##op4,                                     \
26511 +    }                                                          \
26512 +  }
26513 +
26514 +#define SYNTAX_NORMAL_C1(id, mne, opc, nxt, op0, arch) \
26515 +  {                                                    \
26516 +    AVR32_SYNTAX_##id, arch,                   \
26517 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26518 +    AVR32_PARSER_NORMAL,                                       \
26519 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26520 +    &avr32_syntax_table[AVR32_SYNTAX_##nxt], 1,                \
26521 +    {                                                  \
26522 +      AVR32_OPERAND_##op0,                             \
26523 +    }                                                  \
26524 +  }
26525 +#define SYNTAX_NORMAL_CM1(id, mne, opc, nxt, op0, arch)        \
26526 +  {                                                    \
26527 +    AVR32_SYNTAX_##id, arch,                   \
26528 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26529 +    AVR32_PARSER_NORMAL,                                       \
26530 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26531 +    &avr32_syntax_table[AVR32_SYNTAX_##nxt], -1,       \
26532 +    {                                                  \
26533 +      AVR32_OPERAND_##op0,                             \
26534 +    }                                                  \
26535 +  }
26536 +#define SYNTAX_NORMAL_C2(id, mne, opc, nxt, op0, op1, arch)    \
26537 +  {                                                    \
26538 +    AVR32_SYNTAX_##id, arch,                   \
26539 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26540 +    AVR32_PARSER_NORMAL,                                       \
26541 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26542 +    &avr32_syntax_table[AVR32_SYNTAX_##nxt], 2,                \
26543 +    {                                                  \
26544 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                \
26545 +    }                                                  \
26546 +  }
26547 +#define SYNTAX_NORMAL_CM2(id, mne, opc, nxt, op0, op1, arch)   \
26548 +  {                                                    \
26549 +    AVR32_SYNTAX_##id, arch,                   \
26550 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],       \
26551 +    AVR32_PARSER_NORMAL,                                       \
26552 +    { &avr32_opc_table[AVR32_OPC_##opc], },                    \
26553 +    &avr32_syntax_table[AVR32_SYNTAX_##nxt], -2,       \
26554 +    {                                                  \
26555 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                \
26556 +    }                                                  \
26557 +  }
26558 +#define SYNTAX_NORMAL_C3(id, mne, opc, nxt, op0, op1, op2, arch)       \
26559 +  {                                                            \
26560 +    AVR32_SYNTAX_##id, arch,                           \
26561 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],               \
26562 +    AVR32_PARSER_NORMAL,                                               \
26563 +    { &avr32_opc_table[AVR32_OPC_##opc], },                            \
26564 +    &avr32_syntax_table[AVR32_SYNTAX_##nxt], 3,                        \
26565 +    {                                                          \
26566 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                        \
26567 +      AVR32_OPERAND_##op2,                                     \
26568 +    }                                                          \
26569 +  }
26570 +#define SYNTAX_NORMAL_CM3(id, mne, opc, nxt, op0, op1, op2, arch)      \
26571 +  {                                                            \
26572 +    AVR32_SYNTAX_##id, arch,                           \
26573 +    &avr32_mnemonic_table[AVR32_MNEMONIC_##mne],               \
26574 +    AVR32_PARSER_NORMAL,                                               \
26575 +    { &avr32_opc_table[AVR32_OPC_##opc], },                            \
26576 +    &avr32_syntax_table[AVR32_SYNTAX_##nxt], -3,               \
26577 +    {                                                          \
26578 +      AVR32_OPERAND_##op0, AVR32_OPERAND_##op1,                        \
26579 +      AVR32_OPERAND_##op2,                                     \
26580 +    }                                                          \
26581 +  }
26582 +
26583 +
26584 +const struct avr32_syntax avr32_syntax_table[] =
26585 +  {
26586 +    SYNTAX_NORMAL1(ABS, ABS, ABS, INTREG, AVR32_V1),
26587 +    SYNTAX_NORMAL1(ACALL, ACALL, ACALL, UNSIGNED_CONST_W, AVR32_V1),
26588 +    SYNTAX_NORMAL1(ACR, ACR, ACR, INTREG,AVR32_V1),
26589 +    SYNTAX_NORMAL3(ADC, ADC, ADC, INTREG, INTREG, INTREG, AVR32_V1),
26590 +    SYNTAX_NORMAL_C2(ADD1, ADD, ADD1, ADD2, INTREG, INTREG, AVR32_V1),
26591 +    SYNTAX_NORMAL3(ADD2, ADD, ADD2, INTREG, INTREG, INTREG_LSL, AVR32_V1),
26592 +    SYNTAX_NORMAL3(ADDABS, ADDABS, ADDABS, INTREG, INTREG, INTREG, AVR32_V1),
26593 +    SYNTAX_NORMAL3(ADDHH_W, ADDHH_W, ADDHH_W, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
26594 +    SYNTAX_NORMAL_C2(AND1, AND, AND1, AND2, INTREG, INTREG, AVR32_V1),
26595 +    SYNTAX_NORMAL_C3(AND2, AND, AND2, AND3, INTREG, INTREG, INTREG_LSL, AVR32_V1),
26596 +    SYNTAX_NORMAL3(AND3, AND, AND3, INTREG, INTREG, INTREG_LSR, AVR32_V1),
26597 +    SYNTAX_NORMAL_C2(ANDH, ANDH, ANDH, ANDH_COH, INTREG, UNSIGNED_CONST, AVR32_V1),
26598 +    SYNTAX_NORMAL3(ANDH_COH, ANDH, ANDH_COH, INTREG, UNSIGNED_CONST, COH, AVR32_V1),
26599 +    SYNTAX_NORMAL_C2(ANDL, ANDL, ANDL, ANDL_COH, INTREG, UNSIGNED_CONST, AVR32_V1),
26600 +    SYNTAX_NORMAL3(ANDL_COH, ANDL, ANDL_COH, INTREG, UNSIGNED_CONST, COH, AVR32_V1),
26601 +    SYNTAX_NORMAL2(ANDN, ANDN, ANDN, INTREG, INTREG, AVR32_V1),
26602 +    SYNTAX_NORMAL_C3(ASR1, ASR, ASR1, ASR3, INTREG, INTREG, INTREG, AVR32_V1),
26603 +    SYNTAX_NORMAL_C3(ASR3, ASR, ASR3, ASR2, INTREG, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26604 +    SYNTAX_NORMAL2(ASR2, ASR, ASR2, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26605 +    SYNTAX_NORMAL4(BFEXTS, BFEXTS, BFEXTS, INTREG, INTREG, UNSIGNED_NUMBER, UNSIGNED_NUMBER, AVR32_V1),
26606 +    SYNTAX_NORMAL4(BFEXTU, BFEXTU, BFEXTU, INTREG, INTREG, UNSIGNED_NUMBER, UNSIGNED_NUMBER, AVR32_V1),
26607 +    SYNTAX_NORMAL4(BFINS, BFINS, BFINS, INTREG, INTREG, UNSIGNED_NUMBER, UNSIGNED_NUMBER, AVR32_V1),
26608 +    SYNTAX_NORMAL2(BLD, BLD, BLD, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26609 +    SYNTAX_NORMAL_C1(BREQ1, BREQ, BREQ1, BREQ2, JMPLABEL, AVR32_V1),
26610 +    SYNTAX_NORMAL_C1(BRNE1, BRNE, BRNE1, BRNE2, JMPLABEL, AVR32_V1),
26611 +    SYNTAX_NORMAL_C1(BRCC1, BRCC, BRCC1, BRCC2, JMPLABEL, AVR32_V1),
26612 +    SYNTAX_NORMAL_C1(BRCS1, BRCS, BRCS1, BRCS2, JMPLABEL, AVR32_V1),
26613 +    SYNTAX_NORMAL_C1(BRGE1, BRGE, BRGE1, BRGE2, JMPLABEL, AVR32_V1),
26614 +    SYNTAX_NORMAL_C1(BRLT1, BRLT, BRLT1, BRLT2, JMPLABEL, AVR32_V1),
26615 +    SYNTAX_NORMAL_C1(BRMI1, BRMI, BRMI1, BRMI2, JMPLABEL, AVR32_V1),
26616 +    SYNTAX_NORMAL_C1(BRPL1, BRPL, BRPL1, BRPL2, JMPLABEL, AVR32_V1),
26617 +    SYNTAX_NORMAL_C1(BRHS1, BRHS, BRCC1, BRHS2, JMPLABEL, AVR32_V1),
26618 +    SYNTAX_NORMAL_C1(BRLO1, BRLO, BRCS1, BRLO2, JMPLABEL, AVR32_V1),
26619 +    SYNTAX_NORMAL1(BREQ2, BREQ, BREQ2, JMPLABEL, AVR32_V1),
26620 +    SYNTAX_NORMAL1(BRNE2, BRNE, BRNE2, JMPLABEL, AVR32_V1),
26621 +    SYNTAX_NORMAL1(BRCC2, BRCC, BRCC2, JMPLABEL, AVR32_V1),
26622 +    SYNTAX_NORMAL1(BRCS2, BRCS, BRCS2, JMPLABEL, AVR32_V1),
26623 +    SYNTAX_NORMAL1(BRGE2, BRGE, BRGE2, JMPLABEL, AVR32_V1),
26624 +    SYNTAX_NORMAL1(BRLT2, BRLT, BRLT2, JMPLABEL, AVR32_V1),
26625 +    SYNTAX_NORMAL1(BRMI2, BRMI, BRMI2, JMPLABEL, AVR32_V1),
26626 +    SYNTAX_NORMAL1(BRPL2, BRPL, BRPL2, JMPLABEL, AVR32_V1),
26627 +    SYNTAX_NORMAL1(BRLS, BRLS, BRLS, JMPLABEL, AVR32_V1),
26628 +    SYNTAX_NORMAL1(BRGT, BRGT, BRGT, JMPLABEL, AVR32_V1),
26629 +    SYNTAX_NORMAL1(BRLE, BRLE, BRLE, JMPLABEL, AVR32_V1),
26630 +    SYNTAX_NORMAL1(BRHI, BRHI, BRHI, JMPLABEL, AVR32_V1),
26631 +    SYNTAX_NORMAL1(BRVS, BRVS, BRVS, JMPLABEL, AVR32_V1),
26632 +    SYNTAX_NORMAL1(BRVC, BRVC, BRVC, JMPLABEL, AVR32_V1),
26633 +    SYNTAX_NORMAL1(BRQS, BRQS, BRQS, JMPLABEL, AVR32_V1),
26634 +    SYNTAX_NORMAL1(BRAL, BRAL, BRAL, JMPLABEL, AVR32_V1),
26635 +    SYNTAX_NORMAL1(BRHS2, BRHS, BRCC2, JMPLABEL, AVR32_V1),
26636 +    SYNTAX_NORMAL1(BRLO2, BRLO, BRCS2, JMPLABEL, AVR32_V1),
26637 +    SYNTAX_NORMAL0(BREAKPOINT, BREAKPOINT, BREAKPOINT, AVR32_V1),
26638 +    SYNTAX_NORMAL1(BREV, BREV, BREV, INTREG, AVR32_V1),
26639 +    SYNTAX_NORMAL2(BST, BST, BST, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26640 +    SYNTAX_NORMAL2(CACHE, CACHE, CACHE, INTREG_SDISP, UNSIGNED_NUMBER, AVR32_V1),
26641 +    SYNTAX_NORMAL1(CASTS_B, CASTS_B, CASTS_B, INTREG, AVR32_V1),
26642 +    SYNTAX_NORMAL1(CASTS_H, CASTS_H, CASTS_H, INTREG, AVR32_V1),
26643 +    SYNTAX_NORMAL1(CASTU_B, CASTU_B, CASTU_B, INTREG, AVR32_V1),
26644 +    SYNTAX_NORMAL1(CASTU_H, CASTU_H, CASTU_H, INTREG, AVR32_V1),
26645 +    SYNTAX_NORMAL2(CBR, CBR, CBR, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26646 +    SYNTAX_NORMAL2(CLZ, CLZ, CLZ, INTREG, INTREG, AVR32_V1),
26647 +    SYNTAX_NORMAL1(COM, COM, COM, INTREG, AVR32_V1),
26648 +    SYNTAX_NORMAL5(COP, COP, COP, CPNO, CPREG, CPREG, CPREG, UNSIGNED_NUMBER, AVR32_V1),
26649 +    SYNTAX_NORMAL2(CP_B, CP_B, CP_B, INTREG, INTREG, AVR32_V1),
26650 +    SYNTAX_NORMAL2(CP_H, CP_H, CP_H, INTREG, INTREG, AVR32_V1),
26651 +    SYNTAX_NORMAL_C2(CP_W1, CP_W, CP_W1, CP_W2, INTREG, INTREG, AVR32_V1),
26652 +    SYNTAX_NORMAL_C2(CP_W2, CP_W, CP_W2, CP_W3, INTREG, SIGNED_CONST, AVR32_V1),
26653 +    SYNTAX_NORMAL2(CP_W3, CP_W, CP_W3, INTREG, SIGNED_CONST, AVR32_V1),
26654 +    SYNTAX_NORMAL_C2(CPC1, CPC, CPC1, CPC2, INTREG, INTREG, AVR32_V1),
26655 +    SYNTAX_NORMAL1(CPC2, CPC, CPC2, INTREG, AVR32_V1),
26656 +    SYNTAX_NORMAL1(CSRF, CSRF, CSRF, UNSIGNED_NUMBER, AVR32_V1),
26657 +    SYNTAX_NORMAL1(CSRFCZ, CSRFCZ, CSRFCZ, UNSIGNED_NUMBER, AVR32_V1),
26658 +    SYNTAX_NORMAL3(DIVS, DIVS, DIVS, INTREG, INTREG, INTREG, AVR32_V1),
26659 +    SYNTAX_NORMAL3(DIVU, DIVU, DIVU, INTREG, INTREG, INTREG, AVR32_V1),
26660 +    SYNTAX_NORMAL_C2(EOR1, EOR, EOR1, EOR2, INTREG, INTREG, AVR32_V1),
26661 +    SYNTAX_NORMAL_C3(EOR2, EOR, EOR2, EOR3, INTREG, INTREG, INTREG_LSL, AVR32_V1),
26662 +    SYNTAX_NORMAL3(EOR3, EOR, EOR3, INTREG, INTREG, INTREG_LSR, AVR32_V1),
26663 +    SYNTAX_NORMAL2(EORL, EORL, EORL, INTREG, UNSIGNED_CONST, AVR32_V1),
26664 +    SYNTAX_NORMAL2(EORH, EORH, EORH, INTREG, UNSIGNED_CONST, AVR32_V1),
26665 +    SYNTAX_NORMAL0(FRS, FRS, FRS, AVR32_V1),
26666 +    SYNTAX_NORMAL0(SSCALL, SSCALL, SSCALL, AVR32_V3),
26667 +    SYNTAX_NORMAL0(RETSS, RETSS, RETSS, AVR32_V3),
26668 +    SYNTAX_NORMAL1(ICALL, ICALL, ICALL, INTREG, AVR32_V1),
26669 +    SYNTAX_NORMAL1(INCJOSP, INCJOSP, INCJOSP, JOSPINC, AVR32_V1),
26670 +    SYNTAX_NORMAL_C2(LD_D1, LD_D, LD_D1, LD_D2, DWREG, INTREG_POSTINC, AVR32_V1),
26671 +    SYNTAX_NORMAL_C2(LD_D2, LD_D, LD_D2, LD_D3, DWREG, INTREG_PREDEC, AVR32_V1),
26672 +    SYNTAX_NORMAL_C2(LD_D3, LD_D, LD_D3, LD_D5, DWREG, INTREG, AVR32_V1),
26673 +    SYNTAX_NORMAL_C2(LD_D5, LD_D, LD_D5, LD_D4, DWREG, INTREG_INDEX, AVR32_V1),
26674 +    SYNTAX_NORMAL2(LD_D4, LD_D, LD_D4, DWREG, INTREG_SDISP, AVR32_V1),
26675 +    SYNTAX_NORMAL_C2(LD_SB2, LD_SB, LD_SB2, LD_SB1, INTREG, INTREG_INDEX, AVR32_V1),
26676 +    SYNTAX_NORMAL2(LD_SB1, LD_SB, LD_SB1, INTREG, INTREG_SDISP, AVR32_V1),
26677 +    SYNTAX_NORMAL_C2(LD_UB1, LD_UB, LD_UB1, LD_UB2, INTREG, INTREG_POSTINC, AVR32_V1),
26678 +    SYNTAX_NORMAL_C2(LD_UB2, LD_UB, LD_UB2, LD_UB5, INTREG, INTREG_PREDEC, AVR32_V1),
26679 +    SYNTAX_NORMAL_C2(LD_UB5, LD_UB, LD_UB5, LD_UB3, INTREG, INTREG_INDEX, AVR32_V1),
26680 +    SYNTAX_NORMAL_C2(LD_UB3, LD_UB, LD_UB3, LD_UB4, INTREG, INTREG_UDISP, AVR32_V1),
26681 +    SYNTAX_NORMAL2(LD_UB4, LD_UB, LD_UB4, INTREG, INTREG_SDISP, AVR32_V1),
26682 +    SYNTAX_NORMAL_C2(LD_SH1, LD_SH, LD_SH1, LD_SH2, INTREG, INTREG_POSTINC, AVR32_V1),
26683 +    SYNTAX_NORMAL_C2(LD_SH2, LD_SH, LD_SH2, LD_SH5, INTREG, INTREG_PREDEC, AVR32_V1),
26684 +    SYNTAX_NORMAL_C2(LD_SH5, LD_SH, LD_SH5, LD_SH3, INTREG, INTREG_INDEX, AVR32_V1),
26685 +    SYNTAX_NORMAL_C2(LD_SH3, LD_SH, LD_SH3, LD_SH4, INTREG, INTREG_UDISP_H, AVR32_V1),
26686 +    SYNTAX_NORMAL2(LD_SH4, LD_SH, LD_SH4, INTREG, INTREG_SDISP, AVR32_V1),
26687 +    SYNTAX_NORMAL_C2(LD_UH1, LD_UH, LD_UH1, LD_UH2, INTREG, INTREG_POSTINC, AVR32_V1),
26688 +    SYNTAX_NORMAL_C2(LD_UH2, LD_UH, LD_UH2, LD_UH5, INTREG, INTREG_PREDEC, AVR32_V1),
26689 +    SYNTAX_NORMAL_C2(LD_UH5, LD_UH, LD_UH5, LD_UH3, INTREG, INTREG_INDEX, AVR32_V1),
26690 +    SYNTAX_NORMAL_C2(LD_UH3, LD_UH, LD_UH3, LD_UH4, INTREG, INTREG_UDISP_H, AVR32_V1),
26691 +    SYNTAX_NORMAL2(LD_UH4, LD_UH, LD_UH4, INTREG, INTREG_SDISP, AVR32_V1),
26692 +    SYNTAX_NORMAL_C2(LD_W1, LD_W, LD_W1, LD_W2, INTREG, INTREG_POSTINC, AVR32_V1),
26693 +    SYNTAX_NORMAL_C2(LD_W2, LD_W, LD_W2, LD_W5, INTREG, INTREG_PREDEC, AVR32_V1),
26694 +    SYNTAX_NORMAL_C2(LD_W5, LD_W, LD_W5, LD_W6, INTREG, INTREG_INDEX, AVR32_V1),
26695 +    SYNTAX_NORMAL_C2(LD_W6, LD_W, LD_W6, LD_W3, INTREG, INTREG_XINDEX, AVR32_V1),
26696 +    SYNTAX_NORMAL_C2(LD_W3, LD_W, LD_W3, LD_W4, INTREG, INTREG_UDISP_W, AVR32_V1),
26697 +    SYNTAX_NORMAL2(LD_W4, LD_W, LD_W4, INTREG, INTREG_SDISP, AVR32_V1),
26698 +    SYNTAX_NORMAL3(LDC_D1, LDC_D, LDC_D1, CPNO, CPREG_D, INTREG_UDISP_W, AVR32_V1),
26699 +    SYNTAX_NORMAL_C3(LDC_D2, LDC_D, LDC_D2, LDC_D1, CPNO, CPREG_D, INTREG_PREDEC, AVR32_V1),
26700 +    SYNTAX_NORMAL_C3(LDC_D3, LDC_D, LDC_D3, LDC_D2, CPNO, CPREG_D, INTREG_INDEX, AVR32_V1),
26701 +    SYNTAX_NORMAL3(LDC_W1, LDC_W, LDC_W1, CPNO, CPREG, INTREG_UDISP_W, AVR32_V1),
26702 +    SYNTAX_NORMAL_C3(LDC_W2, LDC_W, LDC_W2, LDC_W1, CPNO, CPREG, INTREG_PREDEC, AVR32_V1),
26703 +    SYNTAX_NORMAL_C3(LDC_W3, LDC_W, LDC_W3, LDC_W2, CPNO, CPREG, INTREG_INDEX, AVR32_V1),
26704 +    SYNTAX_NORMAL2(LDC0_D, LDC0_D, LDC0_D, CPREG_D, INTREG_UDISP_W, AVR32_V1),
26705 +    SYNTAX_NORMAL2(LDC0_W, LDC0_W, LDC0_W, CPREG, INTREG_UDISP_W, AVR32_V1),
26706 +    SYNTAX_NORMAL_CM3(LDCM_D, LDCM_D, LDCM_D, LDCM_D_PU, CPNO, INTREG, REGLIST_CPD8, AVR32_V1),
26707 +    SYNTAX_NORMALM3(LDCM_D_PU, LDCM_D, LDCM_D_PU, CPNO, INTREG_POSTINC, REGLIST_CPD8, AVR32_V1),
26708 +    SYNTAX_NORMAL_CM3(LDCM_W, LDCM_W, LDCM_W, LDCM_W_PU, CPNO, INTREG, REGLIST_CP8, AVR32_V1),
26709 +    SYNTAX_NORMALM3(LDCM_W_PU, LDCM_W, LDCM_W_PU, CPNO, INTREG_POSTINC, REGLIST_CP8, AVR32_V1),
26710 +    SYNTAX_NORMAL2(LDDPC, LDDPC, LDDPC, INTREG, PC_UDISP_W, AVR32_V1),
26711 +    SYNTAX_NORMAL2(LDDPC_EXT, LDDPC, LDDPC_EXT, INTREG, SIGNED_CONST, AVR32_V1),
26712 +    SYNTAX_NORMAL2(LDDSP, LDDSP, LDDSP, INTREG, SP_UDISP_W, AVR32_V1),
26713 +    SYNTAX_NORMAL2(LDINS_B, LDINS_B, LDINS_B, INTREG_BSEL, INTREG_SDISP, AVR32_V1),
26714 +    SYNTAX_NORMAL2(LDINS_H, LDINS_H, LDINS_H, INTREG_HSEL, INTREG_SDISP_H, AVR32_V1),
26715 +    SYNTAX_NORMALM1(LDM, LDM, LDM, REGLIST_LDM, AVR32_V1),
26716 +    SYNTAX_NORMAL_CM2(LDMTS, LDMTS, LDMTS, LDMTS_PU, INTREG, REGLIST16, AVR32_V1),
26717 +    SYNTAX_NORMALM2(LDMTS_PU, LDMTS, LDMTS_PU, INTREG_POSTINC, REGLIST16, AVR32_V1),
26718 +    SYNTAX_NORMAL2(LDSWP_SH, LDSWP_SH, LDSWP_SH, INTREG, INTREG_SDISP_H, AVR32_V1),
26719 +    SYNTAX_NORMAL2(LDSWP_UH, LDSWP_UH, LDSWP_UH, INTREG, INTREG_SDISP_H, AVR32_V1),
26720 +    SYNTAX_NORMAL2(LDSWP_W, LDSWP_W, LDSWP_W, INTREG, INTREG_SDISP_W, AVR32_V1),
26721 +    SYNTAX_NORMAL_C3(LSL1, LSL, LSL1, LSL3, INTREG, INTREG, INTREG, AVR32_V1),
26722 +    SYNTAX_NORMAL_C3(LSL3, LSL, LSL3, LSL2, INTREG, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26723 +    SYNTAX_NORMAL2(LSL2, LSL, LSL2, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26724 +    SYNTAX_NORMAL_C3(LSR1, LSR, LSR1, LSR3, INTREG, INTREG, INTREG, AVR32_V1),
26725 +    SYNTAX_NORMAL_C3(LSR3, LSR, LSR3, LSR2, INTREG, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26726 +    SYNTAX_NORMAL2(LSR2, LSR, LSR2, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26727 +    SYNTAX_NORMAL3(MAC, MAC, MAC, INTREG, INTREG, INTREG, AVR32_V1),
26728 +    SYNTAX_NORMAL3(MACHH_D, MACHH_D, MACHH_D, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
26729 +    SYNTAX_NORMAL3(MACHH_W, MACHH_W, MACHH_W, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
26730 +    SYNTAX_NORMAL3(MACS_D, MACS_D, MACS_D, INTREG, INTREG, INTREG, AVR32_V1),
26731 +    SYNTAX_NORMAL3(MACSATHH_W, MACSATHH_W, MACSATHH_W, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
26732 +    SYNTAX_NORMAL3(MACUD, MACU_D, MACUD, INTREG, INTREG, INTREG, AVR32_V1),
26733 +    SYNTAX_NORMAL3(MACWH_D, MACWH_D, MACWH_D, INTREG, INTREG, INTREG_HSEL, AVR32_DSP),
26734 +    SYNTAX_NORMAL3(MAX, MAX, MAX, INTREG, INTREG, INTREG, AVR32_V1),
26735 +    SYNTAX_NORMAL1(MCALL, MCALL, MCALL, MCALL, AVR32_V1),
26736 +    SYNTAX_NORMAL2(MFDR, MFDR, MFDR, INTREG, UNSIGNED_CONST_W, AVR32_V1),
26737 +    SYNTAX_NORMAL2(MFSR, MFSR, MFSR, INTREG, UNSIGNED_CONST_W, AVR32_V1),
26738 +    SYNTAX_NORMAL3(MIN, MIN, MIN, INTREG, INTREG, INTREG, AVR32_V1),
26739 +    SYNTAX_NORMAL_C2(MOV3, MOV, MOV3, MOV1, INTREG, INTREG, AVR32_V1),
26740 +    SYNTAX_NORMAL_C2(MOV1, MOV, MOV1, MOV2, INTREG, SIGNED_CONST, AVR32_V1),
26741 +    SYNTAX_NORMAL2(MOV2, MOV, MOV2,INTREG, SIGNED_CONST, AVR32_V1),
26742 +    SYNTAX_NORMAL_C2(MOVEQ1, MOVEQ, MOVEQ1, MOVEQ2, INTREG, INTREG, AVR32_V1),
26743 +    SYNTAX_NORMAL_C2(MOVNE1, MOVNE, MOVNE1, MOVNE2, INTREG, INTREG, AVR32_V1),
26744 +    SYNTAX_NORMAL_C2(MOVCC1, MOVCC, MOVCC1, MOVCC2, INTREG, INTREG, AVR32_V1),
26745 +    SYNTAX_NORMAL_C2(MOVCS1, MOVCS, MOVCS1, MOVCS2, INTREG, INTREG, AVR32_V1),
26746 +    SYNTAX_NORMAL_C2(MOVGE1, MOVGE, MOVGE1, MOVGE2, INTREG, INTREG, AVR32_V1),
26747 +    SYNTAX_NORMAL_C2(MOVLT1, MOVLT, MOVLT1, MOVLT2, INTREG, INTREG, AVR32_V1),
26748 +    SYNTAX_NORMAL_C2(MOVMI1, MOVMI, MOVMI1, MOVMI2, INTREG, INTREG, AVR32_V1),
26749 +    SYNTAX_NORMAL_C2(MOVPL1, MOVPL, MOVPL1, MOVPL2, INTREG, INTREG, AVR32_V1),
26750 +    SYNTAX_NORMAL_C2(MOVLS1, MOVLS, MOVLS1, MOVLS2, INTREG, INTREG, AVR32_V1),
26751 +    SYNTAX_NORMAL_C2(MOVGT1, MOVGT, MOVGT1, MOVGT2, INTREG, INTREG, AVR32_V1),
26752 +    SYNTAX_NORMAL_C2(MOVLE1, MOVLE, MOVLE1, MOVLE2, INTREG, INTREG, AVR32_V1),
26753 +    SYNTAX_NORMAL_C2(MOVHI1, MOVHI, MOVHI1, MOVHI2, INTREG, INTREG, AVR32_V1),
26754 +    SYNTAX_NORMAL_C2(MOVVS1, MOVVS, MOVVS1, MOVVS2, INTREG, INTREG, AVR32_V1),
26755 +    SYNTAX_NORMAL_C2(MOVVC1, MOVVC, MOVVC1, MOVVC2, INTREG, INTREG, AVR32_V1),
26756 +    SYNTAX_NORMAL_C2(MOVQS1, MOVQS, MOVQS1, MOVQS2, INTREG, INTREG, AVR32_V1),
26757 +    SYNTAX_NORMAL_C2(MOVAL1, MOVAL, MOVAL1, MOVAL2, INTREG, INTREG, AVR32_V1),
26758 +    SYNTAX_NORMAL_C2(MOVHS1, MOVHS, MOVCC1, MOVHS2, INTREG, INTREG, AVR32_V1),
26759 +    SYNTAX_NORMAL_C2(MOVLO1, MOVLO, MOVCS1, MOVLO2, INTREG, INTREG, AVR32_V1),
26760 +    SYNTAX_NORMAL2(MOVEQ2, MOVEQ, MOVEQ2, INTREG, SIGNED_CONST, AVR32_V1),
26761 +    SYNTAX_NORMAL2(MOVNE2, MOVNE, MOVNE2, INTREG, SIGNED_CONST, AVR32_V1),
26762 +    SYNTAX_NORMAL2(MOVCC2, MOVCC, MOVCC2, INTREG, SIGNED_CONST, AVR32_V1),
26763 +    SYNTAX_NORMAL2(MOVCS2, MOVCS, MOVCS2, INTREG, SIGNED_CONST, AVR32_V1),
26764 +    SYNTAX_NORMAL2(MOVGE2, MOVGE, MOVGE2, INTREG, SIGNED_CONST, AVR32_V1),
26765 +    SYNTAX_NORMAL2(MOVLT2, MOVLT, MOVLT2, INTREG, SIGNED_CONST, AVR32_V1),
26766 +    SYNTAX_NORMAL2(MOVMI2, MOVMI, MOVMI2, INTREG, SIGNED_CONST, AVR32_V1),
26767 +    SYNTAX_NORMAL2(MOVPL2, MOVPL, MOVPL2, INTREG, SIGNED_CONST, AVR32_V1),
26768 +    SYNTAX_NORMAL2(MOVLS2, MOVLS, MOVLS2, INTREG, SIGNED_CONST, AVR32_V1),
26769 +    SYNTAX_NORMAL2(MOVGT2, MOVGT, MOVGT2, INTREG, SIGNED_CONST, AVR32_V1),
26770 +    SYNTAX_NORMAL2(MOVLE2, MOVLE, MOVLE2, INTREG, SIGNED_CONST, AVR32_V1),
26771 +    SYNTAX_NORMAL2(MOVHI2, MOVHI, MOVHI2, INTREG, SIGNED_CONST, AVR32_V1),
26772 +    SYNTAX_NORMAL2(MOVVS2, MOVVS, MOVVS2, INTREG, SIGNED_CONST, AVR32_V1),
26773 +    SYNTAX_NORMAL2(MOVVC2, MOVVC, MOVVC2, INTREG, SIGNED_CONST, AVR32_V1),
26774 +    SYNTAX_NORMAL2(MOVQS2, MOVQS, MOVQS2, INTREG, SIGNED_CONST, AVR32_V1),
26775 +    SYNTAX_NORMAL2(MOVAL2, MOVAL, MOVAL2, INTREG, SIGNED_CONST, AVR32_V1),
26776 +    SYNTAX_NORMAL2(MOVHS2, MOVHS, MOVCC2, INTREG, SIGNED_CONST, AVR32_V1),
26777 +    SYNTAX_NORMAL2(MOVLO2, MOVLO, MOVCS2, INTREG, SIGNED_CONST, AVR32_V1),
26778 +    SYNTAX_NORMAL2(MTDR, MTDR, MTDR, UNSIGNED_CONST_W, INTREG, AVR32_V1),
26779 +    SYNTAX_NORMAL2(MTSR, MTSR, MTSR, UNSIGNED_CONST_W, INTREG, AVR32_V1),
26780 +    SYNTAX_NORMAL_C2(MUL1, MUL, MUL1, MUL2, INTREG, INTREG, AVR32_V1),
26781 +    SYNTAX_NORMAL_C3(MUL2, MUL, MUL2, MUL3, INTREG, INTREG, INTREG, AVR32_V1),
26782 +    SYNTAX_NORMAL3(MUL3, MUL, MUL3, INTREG, INTREG, SIGNED_CONST, AVR32_V1),
26783 +    SYNTAX_NORMAL3(MULHH_W, MULHH_W, MULHH_W, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
26784 +    SYNTAX_NORMAL3(MULNHH_W, MULNHH_W, MULNHH_W, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
26785 +    SYNTAX_NORMAL3(MULNWH_D, MULNWH_D, MULNWH_D, INTREG, INTREG, INTREG_HSEL, AVR32_DSP),
26786 +    SYNTAX_NORMAL3(MULSD, MULS_D, MULSD, INTREG, INTREG, INTREG, AVR32_V1),
26787 +    SYNTAX_NORMAL3(MULSATHH_H, MULSATHH_H, MULSATHH_H, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
26788 +    SYNTAX_NORMAL3(MULSATHH_W, MULSATHH_W, MULSATHH_W, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
26789 +    SYNTAX_NORMAL3(MULSATRNDHH_H, MULSATRNDHH_H, MULSATRNDHH_H, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
26790 +    SYNTAX_NORMAL3(MULSATRNDWH_W, MULSATRNDWH_W, MULSATRNDWH_W, INTREG, INTREG, INTREG_HSEL, AVR32_DSP),
26791 +    SYNTAX_NORMAL3(MULSATWH_W, MULSATWH_W, MULSATWH_W, INTREG, INTREG, INTREG_HSEL, AVR32_DSP),
26792 +    SYNTAX_NORMAL3(MULU_D, MULU_D, MULU_D, INTREG, INTREG, INTREG, AVR32_V1),
26793 +    SYNTAX_NORMAL3(MULWH_D, MULWH_D, MULWH_D, INTREG, INTREG, INTREG_HSEL, AVR32_DSP),
26794 +    SYNTAX_NORMAL1(MUSFR, MUSFR, MUSFR, INTREG, AVR32_V1),
26795 +    SYNTAX_NORMAL1(MUSTR, MUSTR, MUSTR, INTREG, AVR32_V1),
26796 +    SYNTAX_NORMAL3(MVCR_D, MVCR_D, MVCR_D, CPNO, DWREG, CPREG_D, AVR32_V1),
26797 +    SYNTAX_NORMAL3(MVCR_W, MVCR_W, MVCR_W, CPNO, INTREG, CPREG, AVR32_V1),
26798 +    SYNTAX_NORMAL3(MVRC_D, MVRC_D, MVRC_D, CPNO, CPREG_D, DWREG, AVR32_V1),
26799 +    SYNTAX_NORMAL3(MVRC_W, MVRC_W, MVRC_W, CPNO, CPREG, INTREG, AVR32_V1),
26800 +    SYNTAX_NORMAL1(NEG, NEG, NEG, INTREG, AVR32_V1),
26801 +    SYNTAX_NORMAL0(NOP, NOP, NOP, AVR32_V1),
26802 +    SYNTAX_NORMAL_C2(OR1, OR, OR1, OR2, INTREG, INTREG, AVR32_V1),
26803 +    SYNTAX_NORMAL_C3(OR2, OR, OR2, OR3, INTREG, INTREG, INTREG_LSL, AVR32_V1),
26804 +    SYNTAX_NORMAL3(OR3, OR, OR3, INTREG, INTREG, INTREG_LSR, AVR32_V1),
26805 +    SYNTAX_NORMAL2(ORH, ORH, ORH, INTREG, UNSIGNED_CONST, AVR32_V1),
26806 +    SYNTAX_NORMAL2(ORL, ORL, ORL, INTREG, UNSIGNED_CONST, AVR32_V1),
26807 +    SYNTAX_NORMAL2(PABS_SB, PABS_SB, PABS_SB, INTREG, INTREG, AVR32_SIMD),
26808 +    SYNTAX_NORMAL2(PABS_SH, PABS_SH, PABS_SH, INTREG, INTREG, AVR32_SIMD),
26809 +    SYNTAX_NORMAL3(PACKSH_SB, PACKSH_SB, PACKSH_SB, INTREG, INTREG, INTREG, AVR32_SIMD),
26810 +    SYNTAX_NORMAL3(PACKSH_UB, PACKSH_UB, PACKSH_UB, INTREG, INTREG, INTREG, AVR32_SIMD),
26811 +    SYNTAX_NORMAL3(PACKW_SH, PACKW_SH, PACKW_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26812 +    SYNTAX_NORMAL3(PADD_B, PADD_B, PADD_B, INTREG, INTREG, INTREG, AVR32_SIMD),
26813 +    SYNTAX_NORMAL3(PADD_H, PADD_H, PADD_H, INTREG, INTREG, INTREG, AVR32_SIMD),
26814 +    SYNTAX_NORMAL3(PADDH_SH, PADDH_SH, PADDH_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26815 +    SYNTAX_NORMAL3(PADDH_UB, PADDH_UB, PADDH_UB, INTREG, INTREG, INTREG, AVR32_SIMD),
26816 +    SYNTAX_NORMAL3(PADDS_SB, PADDS_SB, PADDS_SB, INTREG, INTREG, INTREG, AVR32_SIMD),
26817 +    SYNTAX_NORMAL3(PADDS_SH, PADDS_SH, PADDS_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26818 +    SYNTAX_NORMAL3(PADDS_UB, PADDS_UB, PADDS_UB, INTREG, INTREG, INTREG, AVR32_SIMD),
26819 +    SYNTAX_NORMAL3(PADDS_UH, PADDS_UH, PADDS_UH, INTREG, INTREG, INTREG, AVR32_SIMD),
26820 +    SYNTAX_NORMAL3(PADDSUB_H, PADDSUB_H, PADDSUB_H, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_SIMD),
26821 +    SYNTAX_NORMAL3(PADDSUBH_SH, PADDSUBH_SH, PADDSUBH_SH, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_SIMD),
26822 +    SYNTAX_NORMAL3(PADDSUBS_SH, PADDSUBS_SH, PADDSUBS_SH, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_SIMD),
26823 +    SYNTAX_NORMAL3(PADDSUBS_UH, PADDSUBS_UH, PADDSUBS_UH, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_SIMD),
26824 +    SYNTAX_NORMAL3(PADDX_H, PADDX_H, PADDX_H, INTREG, INTREG, INTREG, AVR32_SIMD),
26825 +    SYNTAX_NORMAL3(PADDXH_SH, PADDXH_SH, PADDXH_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26826 +    SYNTAX_NORMAL3(PADDXS_SH, PADDXS_SH, PADDXS_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26827 +    SYNTAX_NORMAL3(PADDXS_UH, PADDXS_UH, PADDXS_UH, INTREG, INTREG, INTREG, AVR32_SIMD),
26828 +    SYNTAX_NORMAL3(PASR_B, PASR_B, PASR_B, INTREG, INTREG, UNSIGNED_NUMBER, AVR32_SIMD),
26829 +    SYNTAX_NORMAL3(PASR_H, PASR_H, PASR_H, INTREG, INTREG, UNSIGNED_NUMBER, AVR32_SIMD),
26830 +    SYNTAX_NORMAL3(PAVG_SH, PAVG_SH, PAVG_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26831 +    SYNTAX_NORMAL3(PAVG_UB, PAVG_UB, PAVG_UB, INTREG, INTREG, INTREG, AVR32_SIMD),
26832 +    SYNTAX_NORMAL3(PLSL_B, PLSL_B, PLSL_B, INTREG, INTREG, UNSIGNED_NUMBER, AVR32_SIMD),
26833 +    SYNTAX_NORMAL3(PLSL_H, PLSL_H, PLSL_H, INTREG, INTREG, UNSIGNED_NUMBER, AVR32_SIMD),
26834 +    SYNTAX_NORMAL3(PLSR_B, PLSR_B, PLSR_B, INTREG, INTREG, UNSIGNED_NUMBER, AVR32_SIMD),
26835 +    SYNTAX_NORMAL3(PLSR_H, PLSR_H, PLSR_H, INTREG, INTREG, UNSIGNED_NUMBER, AVR32_SIMD),
26836 +    SYNTAX_NORMAL3(PMAX_SH, PMAX_SH, PMAX_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26837 +    SYNTAX_NORMAL3(PMAX_UB, PMAX_UB, PMAX_UB, INTREG, INTREG, INTREG, AVR32_SIMD),
26838 +    SYNTAX_NORMAL3(PMIN_SH, PMIN_SH, PMIN_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26839 +    SYNTAX_NORMAL3(PMIN_UB, PMIN_UB, PMIN_UB, INTREG, INTREG, INTREG, AVR32_SIMD),
26840 +    SYNTAX_NORMAL0(POPJC, POPJC, POPJC, AVR32_V1),
26841 +    SYNTAX_NORMAL_CM1(POPM, POPM, POPM, POPM_E, REGLIST9, AVR32_V1),
26842 +    SYNTAX_NORMALM1(POPM_E, POPM, POPM_E, REGLIST16, AVR32_V1),
26843 +    SYNTAX_NORMAL1(PREF, PREF, PREF, INTREG_SDISP, AVR32_V1),
26844 +    SYNTAX_NORMAL3(PSAD, PSAD, PSAD, INTREG, INTREG, INTREG, AVR32_SIMD),
26845 +    SYNTAX_NORMAL3(PSUB_B, PSUB_B, PSUB_B, INTREG, INTREG, INTREG, AVR32_SIMD),
26846 +    SYNTAX_NORMAL3(PSUB_H, PSUB_H, PSUB_H, INTREG, INTREG, INTREG, AVR32_SIMD),
26847 +    SYNTAX_NORMAL3(PSUBADD_H, PSUBADD_H, PSUBADD_H, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_SIMD),
26848 +    SYNTAX_NORMAL3(PSUBADDH_SH, PSUBADDH_SH, PSUBADDH_SH, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_SIMD),
26849 +    SYNTAX_NORMAL3(PSUBADDS_SH, PSUBADDS_SH, PSUBADDS_SH, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_SIMD),
26850 +    SYNTAX_NORMAL3(PSUBADDS_UH, PSUBADDS_UH, PSUBADDS_UH, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_SIMD),
26851 +    SYNTAX_NORMAL3(PSUBH_SH, PSUBH_SH, PSUBH_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26852 +    SYNTAX_NORMAL3(PSUBH_UB, PSUBH_UB, PSUBH_UB, INTREG, INTREG, INTREG, AVR32_SIMD),
26853 +    SYNTAX_NORMAL3(PSUBS_SB, PSUBS_SB, PSUBS_SB, INTREG, INTREG, INTREG, AVR32_SIMD),
26854 +    SYNTAX_NORMAL3(PSUBS_SH, PSUBS_SH, PSUBS_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26855 +    SYNTAX_NORMAL3(PSUBS_UB, PSUBS_UB, PSUBS_UB, INTREG, INTREG, INTREG, AVR32_SIMD),
26856 +    SYNTAX_NORMAL3(PSUBS_UH, PSUBS_UH, PSUBS_UH, INTREG, INTREG, INTREG, AVR32_SIMD),
26857 +    SYNTAX_NORMAL3(PSUBX_H, PSUBX_H, PSUBX_H, INTREG, INTREG, INTREG, AVR32_SIMD),
26858 +    SYNTAX_NORMAL3(PSUBXH_SH, PSUBXH_SH, PSUBXH_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26859 +    SYNTAX_NORMAL3(PSUBXS_SH, PSUBXS_SH, PSUBXS_SH, INTREG, INTREG, INTREG, AVR32_SIMD),
26860 +    SYNTAX_NORMAL3(PSUBXS_UH, PSUBXS_UH, PSUBXS_UH, INTREG, INTREG, INTREG, AVR32_SIMD),
26861 +    SYNTAX_NORMAL2(PUNPCKSB_H, PUNPCKSB_H, PUNPCKSB_H, INTREG, INTREG_HSEL, AVR32_SIMD),
26862 +    SYNTAX_NORMAL2(PUNPCKUB_H, PUNPCKUB_H, PUNPCKUB_H, INTREG, INTREG_HSEL, AVR32_SIMD),
26863 +    SYNTAX_NORMAL0(PUSHJC, PUSHJC, PUSHJC, AVR32_V1),
26864 +    SYNTAX_NORMAL_CM1(PUSHM, PUSHM, PUSHM, PUSHM_E, REGLIST8, AVR32_V1),
26865 +    SYNTAX_NORMALM1(PUSHM_E, PUSHM, PUSHM_E, REGLIST16, AVR32_V1),
26866 +    SYNTAX_NORMAL_C1(RCALL1, RCALL, RCALL1, RCALL2, JMPLABEL, AVR32_V1),
26867 +    SYNTAX_NORMAL1(RCALL2, RCALL, RCALL2, JMPLABEL, AVR32_V1),
26868 +    SYNTAX_NORMAL1(RETEQ, RETEQ, RETEQ, RETVAL, AVR32_V1),
26869 +    SYNTAX_NORMAL1(RETNE, RETNE, RETNE, RETVAL, AVR32_V1),
26870 +    SYNTAX_NORMAL1(RETCC, RETCC, RETCC, RETVAL, AVR32_V1),
26871 +    SYNTAX_NORMAL1(RETCS, RETCS, RETCS, RETVAL, AVR32_V1),
26872 +    SYNTAX_NORMAL1(RETGE, RETGE, RETGE, RETVAL, AVR32_V1),
26873 +    SYNTAX_NORMAL1(RETLT, RETLT, RETLT, RETVAL, AVR32_V1),
26874 +    SYNTAX_NORMAL1(RETMI, RETMI, RETMI, RETVAL, AVR32_V1),
26875 +    SYNTAX_NORMAL1(RETPL, RETPL, RETPL, RETVAL, AVR32_V1),
26876 +    SYNTAX_NORMAL1(RETLS, RETLS, RETLS, RETVAL, AVR32_V1),
26877 +    SYNTAX_NORMAL1(RETGT, RETGT, RETGT, RETVAL, AVR32_V1),
26878 +    SYNTAX_NORMAL1(RETLE, RETLE, RETLE, RETVAL, AVR32_V1),
26879 +    SYNTAX_NORMAL1(RETHI, RETHI, RETHI, RETVAL, AVR32_V1),
26880 +    SYNTAX_NORMAL1(RETVS, RETVS, RETVS, RETVAL, AVR32_V1),
26881 +    SYNTAX_NORMAL1(RETVC, RETVC, RETVC, RETVAL, AVR32_V1),
26882 +    SYNTAX_NORMAL1(RETQS, RETQS, RETQS, RETVAL, AVR32_V1),
26883 +    SYNTAX_NORMAL1(RETAL, RETAL, RETAL, RETVAL, AVR32_V1),
26884 +    SYNTAX_NORMAL1(RETHS, RETHS, RETCC, RETVAL, AVR32_V1),
26885 +    SYNTAX_NORMAL1(RETLO, RETLO, RETCS, RETVAL, AVR32_V1),
26886 +    SYNTAX_NORMAL0(RETD, RETD, RETD, AVR32_V1),
26887 +    SYNTAX_NORMAL0(RETE, RETE, RETE, AVR32_V1),
26888 +    SYNTAX_NORMAL0(RETJ, RETJ, RETJ, AVR32_V1),
26889 +    SYNTAX_NORMAL0(RETS, RETS, RETS, AVR32_V1),
26890 +    SYNTAX_NORMAL1(RJMP, RJMP, RJMP, JMPLABEL, AVR32_V1),
26891 +    SYNTAX_NORMAL1(ROL, ROL, ROL, INTREG, AVR32_V1),
26892 +    SYNTAX_NORMAL1(ROR, ROR, ROR, INTREG, AVR32_V1),
26893 +    SYNTAX_NORMAL_C2(RSUB1, RSUB, RSUB1, RSUB2, INTREG, INTREG, AVR32_V1),
26894 +    SYNTAX_NORMAL3(RSUB2, RSUB, RSUB2, INTREG, INTREG, SIGNED_CONST, AVR32_V1),
26895 +    SYNTAX_NORMAL3(SATADD_H, SATADD_H, SATADD_H, INTREG, INTREG, INTREG,  AVR32_DSP),
26896 +    SYNTAX_NORMAL3(SATADD_W, SATADD_W, SATADD_W, INTREG, INTREG, INTREG, AVR32_DSP),
26897 +    SYNTAX_NORMAL2(SATRNDS, SATRNDS, SATRNDS, INTREG_LSR, UNSIGNED_NUMBER, AVR32_DSP),
26898 +    SYNTAX_NORMAL2(SATRNDU, SATRNDU, SATRNDU, INTREG_LSR, UNSIGNED_NUMBER, AVR32_DSP),
26899 +    SYNTAX_NORMAL2(SATS, SATS, SATS, INTREG_LSR, UNSIGNED_NUMBER, AVR32_DSP),
26900 +    SYNTAX_NORMAL3(SATSUB_H, SATSUB_H, SATSUB_H, INTREG, INTREG, INTREG, AVR32_DSP),
26901 +    SYNTAX_NORMAL_C3(SATSUB_W1, SATSUB_W, SATSUB_W1, SATSUB_W2, INTREG, INTREG, INTREG, AVR32_DSP),
26902 +    SYNTAX_NORMAL3(SATSUB_W2, SATSUB_W, SATSUB_W2, INTREG, INTREG, SIGNED_CONST, AVR32_DSP),
26903 +    SYNTAX_NORMAL2(SATU, SATU, SATU, INTREG_LSR, UNSIGNED_NUMBER, AVR32_V1),
26904 +    SYNTAX_NORMAL3(SBC, SBC, SBC, INTREG, INTREG, INTREG, AVR32_V1),
26905 +    SYNTAX_NORMAL2(SBR, SBR, SBR, INTREG, UNSIGNED_NUMBER, AVR32_V1),
26906 +    SYNTAX_NORMAL0(SCALL, SCALL, SCALL, AVR32_V1),
26907 +    SYNTAX_NORMAL1(SCR, SCR, SCR, INTREG, AVR32_V1),
26908 +    SYNTAX_NORMAL1(SLEEP, SLEEP, SLEEP, UNSIGNED_CONST, AVR32_V1),
26909 +    SYNTAX_NORMAL1(SREQ, SREQ, SREQ, INTREG, AVR32_V1),
26910 +    SYNTAX_NORMAL1(SRNE, SRNE, SRNE, INTREG, AVR32_V1),
26911 +    SYNTAX_NORMAL1(SRCC, SRCC, SRCC, INTREG, AVR32_V1),
26912 +    SYNTAX_NORMAL1(SRCS, SRCS, SRCS, INTREG, AVR32_V1),
26913 +    SYNTAX_NORMAL1(SRGE, SRGE, SRGE, INTREG, AVR32_V1),
26914 +    SYNTAX_NORMAL1(SRLT, SRLT, SRLT, INTREG, AVR32_V1),
26915 +    SYNTAX_NORMAL1(SRMI, SRMI, SRMI, INTREG, AVR32_V1),
26916 +    SYNTAX_NORMAL1(SRPL, SRPL, SRPL, INTREG, AVR32_V1),
26917 +    SYNTAX_NORMAL1(SRLS, SRLS, SRLS, INTREG, AVR32_V1),
26918 +    SYNTAX_NORMAL1(SRGT, SRGT, SRGT, INTREG, AVR32_V1),
26919 +    SYNTAX_NORMAL1(SRLE, SRLE, SRLE, INTREG, AVR32_V1),
26920 +    SYNTAX_NORMAL1(SRHI, SRHI, SRHI, INTREG, AVR32_V1),
26921 +    SYNTAX_NORMAL1(SRVS, SRVS, SRVS, INTREG, AVR32_V1),
26922 +    SYNTAX_NORMAL1(SRVC, SRVC, SRVC, INTREG, AVR32_V1),
26923 +    SYNTAX_NORMAL1(SRQS, SRQS, SRQS, INTREG, AVR32_V1),
26924 +    SYNTAX_NORMAL1(SRAL, SRAL, SRAL, INTREG, AVR32_V1),
26925 +    SYNTAX_NORMAL1(SRHS, SRHS, SRCC, INTREG, AVR32_V1),
26926 +    SYNTAX_NORMAL1(SRLO, SRLO, SRCS, INTREG, AVR32_V1),
26927 +    SYNTAX_NORMAL1(SSRF, SSRF, SSRF, UNSIGNED_NUMBER, AVR32_V1),
26928 +    SYNTAX_NORMAL_C2(ST_B1, ST_B, ST_B1, ST_B2, INTREG_POSTINC, INTREG, AVR32_V1),
26929 +    SYNTAX_NORMAL_C2(ST_B2, ST_B, ST_B2, ST_B5, INTREG_PREDEC, INTREG, AVR32_V1),
26930 +    SYNTAX_NORMAL_C2(ST_B5, ST_B, ST_B5, ST_B3, INTREG_INDEX, INTREG, AVR32_V1),
26931 +    SYNTAX_NORMAL_C2(ST_B3, ST_B, ST_B3, ST_B4, INTREG_UDISP, INTREG, AVR32_V1),
26932 +    SYNTAX_NORMAL2(ST_B4, ST_B, ST_B4, INTREG_SDISP, INTREG, AVR32_V1),
26933 +    SYNTAX_NORMAL_C2(ST_D1, ST_D, ST_D1, ST_D2, INTREG_POSTINC, DWREG, AVR32_V1),
26934 +    SYNTAX_NORMAL_C2(ST_D2, ST_D, ST_D2, ST_D3, INTREG_PREDEC, DWREG, AVR32_V1),
26935 +    SYNTAX_NORMAL_C2(ST_D3, ST_D, ST_D3, ST_D5, INTREG, DWREG, AVR32_V1),
26936 +    SYNTAX_NORMAL_C2(ST_D5, ST_D, ST_D5, ST_D4, INTREG_INDEX, DWREG, AVR32_V1),
26937 +    SYNTAX_NORMAL2(ST_D4, ST_D, ST_D4, INTREG_SDISP, DWREG, AVR32_V1),
26938 +    SYNTAX_NORMAL_C2(ST_H1, ST_H, ST_H1, ST_H2, INTREG_POSTINC, INTREG, AVR32_V1),
26939 +    SYNTAX_NORMAL_C2(ST_H2, ST_H, ST_H2, ST_H5, INTREG_PREDEC, INTREG, AVR32_V1),
26940 +    SYNTAX_NORMAL_C2(ST_H5, ST_H, ST_H5, ST_H3, INTREG_INDEX, INTREG, AVR32_V1),
26941 +    SYNTAX_NORMAL_C2(ST_H3, ST_H, ST_H3, ST_H4, INTREG_UDISP_H, INTREG, AVR32_V1),
26942 +    SYNTAX_NORMAL2(ST_H4, ST_H, ST_H4, INTREG_SDISP, INTREG, AVR32_V1),
26943 +    SYNTAX_NORMAL_C2(ST_W1, ST_W, ST_W1, ST_W2, INTREG_POSTINC, INTREG, AVR32_V1),
26944 +    SYNTAX_NORMAL_C2(ST_W2, ST_W, ST_W2, ST_W5, INTREG_PREDEC, INTREG, AVR32_V1),
26945 +    SYNTAX_NORMAL_C2(ST_W5, ST_W, ST_W5, ST_W3, INTREG_INDEX, INTREG, AVR32_V1),
26946 +    SYNTAX_NORMAL_C2(ST_W3, ST_W, ST_W3, ST_W4, INTREG_UDISP_W, INTREG, AVR32_V1),
26947 +    SYNTAX_NORMAL2(ST_W4, ST_W, ST_W4, INTREG_SDISP, INTREG, AVR32_V1),
26948 +    SYNTAX_NORMAL3(STC_D1, STC_D, STC_D1, CPNO, INTREG_UDISP_W, CPREG_D, AVR32_V1),
26949 +    SYNTAX_NORMAL_C3(STC_D2, STC_D, STC_D2, STC_D1, CPNO, INTREG_POSTINC, CPREG_D, AVR32_V1),
26950 +    SYNTAX_NORMAL_C3(STC_D3, STC_D, STC_D3, STC_D2, CPNO, INTREG_INDEX, CPREG_D, AVR32_V1),
26951 +    SYNTAX_NORMAL3(STC_W1, STC_W, STC_W1, CPNO, INTREG_UDISP_W, CPREG, AVR32_V1),
26952 +    SYNTAX_NORMAL_C3(STC_W2, STC_W, STC_W2, STC_W1, CPNO, INTREG_POSTINC, CPREG, AVR32_V1),
26953 +    SYNTAX_NORMAL_C3(STC_W3, STC_W, STC_W3, STC_W2, CPNO, INTREG_INDEX, CPREG, AVR32_V1),
26954 +    SYNTAX_NORMAL2(STC0_D, STC0_D, STC0_D, INTREG_UDISP_W, CPREG_D, AVR32_V1),
26955 +    SYNTAX_NORMAL2(STC0_W, STC0_W, STC0_W, INTREG_UDISP_W, CPREG, AVR32_V1),
26956 +    SYNTAX_NORMAL_CM3(STCM_D, STCM_D, STCM_D, STCM_D_PU, CPNO, INTREG, REGLIST_CPD8, AVR32_V1),
26957 +    SYNTAX_NORMALM3(STCM_D_PU, STCM_D, STCM_D_PU, CPNO, INTREG_PREDEC, REGLIST_CPD8, AVR32_V1),
26958 +    SYNTAX_NORMAL_CM3(STCM_W, STCM_W, STCM_W, STCM_W_PU, CPNO, INTREG, REGLIST_CP8, AVR32_V1),
26959 +    SYNTAX_NORMALM3(STCM_W_PU, STCM_W, STCM_W_PU, CPNO, INTREG_PREDEC, REGLIST_CP8, AVR32_V1),
26960 +    SYNTAX_NORMAL2(STCOND, STCOND, STCOND, INTREG_SDISP, INTREG, AVR32_V1),
26961 +    SYNTAX_NORMAL2(STDSP, STDSP, STDSP, SP_UDISP_W, INTREG, AVR32_V1),
26962 +    SYNTAX_NORMAL_C3(STHH_W2, STHH_W, STHH_W2, STHH_W1, INTREG_INDEX, INTREG_HSEL, INTREG_HSEL, AVR32_V1),
26963 +    SYNTAX_NORMAL3(STHH_W1, STHH_W, STHH_W1, INTREG_UDISP_W, INTREG_HSEL, INTREG_HSEL, AVR32_V1),
26964 +    SYNTAX_NORMAL_CM2(STM, STM, STM, STM_PU, INTREG, REGLIST16, AVR32_V1),
26965 +    SYNTAX_NORMALM2(STM_PU, STM, STM_PU, INTREG_PREDEC, REGLIST16, AVR32_V1),
26966 +    SYNTAX_NORMAL_CM2(STMTS, STMTS, STMTS, STMTS_PU, INTREG, REGLIST16, AVR32_V1),
26967 +    SYNTAX_NORMALM2(STMTS_PU, STMTS, STMTS_PU, INTREG_PREDEC, REGLIST16, AVR32_V1),
26968 +    SYNTAX_NORMAL2(STSWP_H, STSWP_H, STSWP_H, INTREG_SDISP_H, INTREG, AVR32_V1),
26969 +    SYNTAX_NORMAL2(STSWP_W, STSWP_W, STSWP_W, INTREG_SDISP_W, INTREG, AVR32_V1),
26970 +    SYNTAX_NORMAL_C2(SUB1, SUB, SUB1, SUB2, INTREG, INTREG, AVR32_V1),
26971 +    SYNTAX_NORMAL_C3(SUB2, SUB, SUB2, SUB5, INTREG, INTREG, INTREG_LSL, AVR32_V1),
26972 +    SYNTAX_NORMAL_C3(SUB5, SUB, SUB5, SUB3_SP, INTREG, INTREG, SIGNED_CONST, AVR32_V1),
26973 +    SYNTAX_NORMAL_C2(SUB3_SP, SUB, SUB3_SP, SUB3, SP, SIGNED_CONST_W, AVR32_V1),
26974 +    SYNTAX_NORMAL_C2(SUB3, SUB, SUB3, SUB4, INTREG, SIGNED_CONST, AVR32_V1),
26975 +    SYNTAX_NORMAL2(SUB4, SUB, SUB4, INTREG, SIGNED_CONST, AVR32_V1),
26976 +    SYNTAX_NORMAL_C2(SUBEQ, SUBEQ, SUBEQ, SUB2EQ, INTREG, SIGNED_CONST, AVR32_V1),
26977 +    SYNTAX_NORMAL_C2(SUBNE, SUBNE, SUBNE, SUB2NE, INTREG, SIGNED_CONST, AVR32_V1),
26978 +    SYNTAX_NORMAL_C2(SUBCC, SUBCC, SUBCC, SUB2CC, INTREG, SIGNED_CONST, AVR32_V1),
26979 +    SYNTAX_NORMAL_C2(SUBCS, SUBCS, SUBCS, SUB2CS, INTREG, SIGNED_CONST, AVR32_V1),
26980 +    SYNTAX_NORMAL_C2(SUBGE, SUBGE, SUBGE, SUB2GE, INTREG, SIGNED_CONST, AVR32_V1),
26981 +    SYNTAX_NORMAL_C2(SUBLT, SUBLT, SUBLT, SUB2LT, INTREG, SIGNED_CONST, AVR32_V1),
26982 +    SYNTAX_NORMAL_C2(SUBMI, SUBMI, SUBMI, SUB2MI, INTREG, SIGNED_CONST, AVR32_V1),
26983 +    SYNTAX_NORMAL_C2(SUBPL, SUBPL, SUBPL, SUB2PL, INTREG, SIGNED_CONST, AVR32_V1),
26984 +    SYNTAX_NORMAL_C2(SUBLS, SUBLS, SUBLS, SUB2LS, INTREG, SIGNED_CONST, AVR32_V1),
26985 +    SYNTAX_NORMAL_C2(SUBGT, SUBGT, SUBGT, SUB2GT, INTREG, SIGNED_CONST, AVR32_V1),
26986 +    SYNTAX_NORMAL_C2(SUBLE, SUBLE, SUBLE, SUB2LE, INTREG, SIGNED_CONST, AVR32_V1),
26987 +    SYNTAX_NORMAL_C2(SUBHI, SUBHI, SUBHI, SUB2HI, INTREG, SIGNED_CONST, AVR32_V1),
26988 +    SYNTAX_NORMAL_C2(SUBVS, SUBVS, SUBVS, SUB2VS, INTREG, SIGNED_CONST, AVR32_V1),
26989 +    SYNTAX_NORMAL_C2(SUBVC, SUBVC, SUBVC, SUB2VC, INTREG, SIGNED_CONST, AVR32_V1),
26990 +    SYNTAX_NORMAL_C2(SUBQS, SUBQS, SUBQS, SUB2QS, INTREG, SIGNED_CONST, AVR32_V1),
26991 +    SYNTAX_NORMAL_C2(SUBAL, SUBAL, SUBAL, SUB2AL, INTREG, SIGNED_CONST, AVR32_V1),
26992 +    SYNTAX_NORMAL_C2(SUBHS, SUBHS, SUBCC, SUB2CC, INTREG, SIGNED_CONST, AVR32_V1),
26993 +    SYNTAX_NORMAL_C2(SUBLO, SUBLO, SUBCS, SUB2CS, INTREG, SIGNED_CONST, AVR32_V1),
26994 +    SYNTAX_NORMAL2(SUBFEQ, SUBFEQ, SUBFEQ, INTREG, SIGNED_CONST, AVR32_V1),
26995 +    SYNTAX_NORMAL2(SUBFNE, SUBFNE, SUBFNE, INTREG, SIGNED_CONST, AVR32_V1),
26996 +    SYNTAX_NORMAL2(SUBFCC, SUBFCC, SUBFCC, INTREG, SIGNED_CONST, AVR32_V1),
26997 +    SYNTAX_NORMAL2(SUBFCS, SUBFCS, SUBFCS, INTREG, SIGNED_CONST, AVR32_V1),
26998 +    SYNTAX_NORMAL2(SUBFGE, SUBFGE, SUBFGE, INTREG, SIGNED_CONST, AVR32_V1),
26999 +    SYNTAX_NORMAL2(SUBFLT, SUBFLT, SUBFLT, INTREG, SIGNED_CONST, AVR32_V1),
27000 +    SYNTAX_NORMAL2(SUBFMI, SUBFMI, SUBFMI, INTREG, SIGNED_CONST, AVR32_V1),
27001 +    SYNTAX_NORMAL2(SUBFPL, SUBFPL, SUBFPL, INTREG, SIGNED_CONST, AVR32_V1),
27002 +    SYNTAX_NORMAL2(SUBFLS, SUBFLS, SUBFLS, INTREG, SIGNED_CONST, AVR32_V1),
27003 +    SYNTAX_NORMAL2(SUBFGT, SUBFGT, SUBFGT, INTREG, SIGNED_CONST, AVR32_V1),
27004 +    SYNTAX_NORMAL2(SUBFLE, SUBFLE, SUBFLE, INTREG, SIGNED_CONST, AVR32_V1),
27005 +    SYNTAX_NORMAL2(SUBFHI, SUBFHI, SUBFHI, INTREG, SIGNED_CONST, AVR32_V1),
27006 +    SYNTAX_NORMAL2(SUBFVS, SUBFVS, SUBFVS, INTREG, SIGNED_CONST, AVR32_V1),
27007 +    SYNTAX_NORMAL2(SUBFVC, SUBFVC, SUBFVC, INTREG, SIGNED_CONST, AVR32_V1),
27008 +    SYNTAX_NORMAL2(SUBFQS, SUBFQS, SUBFQS, INTREG, SIGNED_CONST, AVR32_V1),
27009 +    SYNTAX_NORMAL2(SUBFAL, SUBFAL, SUBFAL, INTREG, SIGNED_CONST, AVR32_V1),
27010 +    SYNTAX_NORMAL2(SUBFHS, SUBFHS, SUBFCC, INTREG, SIGNED_CONST, AVR32_V1),
27011 +    SYNTAX_NORMAL2(SUBFLO, SUBFLO, SUBFCS, INTREG, SIGNED_CONST, AVR32_V1),
27012 +    SYNTAX_NORMAL3(SUBHH_W, SUBHH_W, SUBHH_W, INTREG, INTREG_HSEL, INTREG_HSEL, AVR32_DSP),
27013 +    SYNTAX_NORMAL1(SWAP_B, SWAP_B, SWAP_B, INTREG, AVR32_V1),
27014 +    SYNTAX_NORMAL1(SWAP_BH, SWAP_BH, SWAP_BH, INTREG, AVR32_V1),
27015 +    SYNTAX_NORMAL1(SWAP_H, SWAP_H, SWAP_H, INTREG, AVR32_V1),
27016 +    SYNTAX_NORMAL1(SYNC, SYNC, SYNC, UNSIGNED_CONST, AVR32_V1),
27017 +    SYNTAX_NORMAL0(TLBR, TLBR, TLBR, AVR32_V1),
27018 +    SYNTAX_NORMAL0(TLBS, TLBS, TLBS, AVR32_V1),
27019 +    SYNTAX_NORMAL0(TLBW, TLBW, TLBW, AVR32_V1),
27020 +    SYNTAX_NORMAL1(TNBZ, TNBZ, TNBZ, INTREG, AVR32_V1),
27021 +    SYNTAX_NORMAL2(TST, TST, TST, INTREG, INTREG, AVR32_V1),
27022 +    SYNTAX_NORMAL3(XCHG, XCHG, XCHG, INTREG, INTREG, INTREG, AVR32_V1),
27023 +    SYNTAX_NORMAL2(MEMC, MEMC, MEMC, SIGNED_CONST_W, UNSIGNED_NUMBER, AVR32_RMW),
27024 +    SYNTAX_NORMAL2(MEMS, MEMS, MEMS, SIGNED_CONST_W, UNSIGNED_NUMBER, AVR32_RMW),
27025 +    SYNTAX_NORMAL2(MEMT, MEMT, MEMT, SIGNED_CONST_W, UNSIGNED_NUMBER, AVR32_RMW),
27026 +  SYNTAX_NORMAL4 (FMAC_S,  FMAC_S,  FMAC_S,  INTREG, INTREG, INTREG, INTREG,
27027 +                  AVR32_V3FP),
27028 +  SYNTAX_NORMAL4 (FNMAC_S, FNMAC_S, FNMAC_S, INTREG, INTREG, INTREG, INTREG, 
27029 +                  AVR32_V3FP),
27030 +  SYNTAX_NORMAL4 (FMSC_S,  FMSC_S,  FMSC_S,  INTREG, INTREG, INTREG, INTREG, 
27031 +                  AVR32_V3FP),
27032 +  SYNTAX_NORMAL4 (FNMSC_S, FNMSC_S, FNMSC_S, INTREG, INTREG, INTREG, INTREG, 
27033 +                  AVR32_V3FP),
27034 +  SYNTAX_NORMAL3 (FMUL_S,  FMUL_S,  FMUL_S,  INTREG, INTREG, INTREG, AVR32_V3FP),
27035 +  SYNTAX_NORMAL3 (FNMUL_S, FNMUL_S, FNMUL_S, INTREG, INTREG, INTREG, AVR32_V3FP),
27036 +  SYNTAX_NORMAL3 (FADD_S,  FADD_S,  FADD_S,  INTREG, INTREG, INTREG, AVR32_V3FP),
27037 +  SYNTAX_NORMAL3 (FSUB_S,  FSUB_S,  FSUB_S,  INTREG, INTREG, INTREG, AVR32_V3FP),
27038 +  SYNTAX_NORMAL2 (FCASTRS_SW, FCASTRS_SW, FCASTRS_SW, INTREG, INTREG, AVR32_V3FP),
27039 +  SYNTAX_NORMAL2 (FCASTRS_UW, FCASTRS_UW, FCASTRS_UW, INTREG, INTREG, AVR32_V3FP),
27040 +  SYNTAX_NORMAL2 (FCASTSW_S,  FCASTSW_S,  FCASTSW_S,  INTREG, INTREG, AVR32_V3FP),
27041 +  SYNTAX_NORMAL2 (FCASTUW_S,  FCASTUW_S,  FCASTUW_S,  INTREG, INTREG, AVR32_V3FP),
27042 +  SYNTAX_NORMAL2 (FCMP_S, FCMP_S, FCMP_S, INTREG, INTREG, AVR32_V3FP),
27043 +  SYNTAX_NORMAL1 (FCHK_S, FCHK_S, FCHK_S, INTREG, AVR32_V3FP),
27044 +  SYNTAX_NORMAL2 (FRCPA_S,   FRCPA_S,   FRCPA_S,   INTREG, INTREG, AVR32_V3FP),
27045 +  SYNTAX_NORMAL2 (FRSQRTA_S, FRSQRTA_S, FRSQRTA_S, INTREG, INTREG, AVR32_V3FP),
27046 +    {
27047 +      AVR32_SYNTAX_LDA_W,
27048 +      AVR32_V1, NULL, AVR32_PARSER_LDA,
27049 +      { NULL }, NULL,
27050 +      2,
27051 +      {
27052 +       AVR32_OPERAND_INTREG,
27053 +       AVR32_OPERAND_SIGNED_CONST,
27054 +      },
27055 +    },
27056 +    {
27057 +      AVR32_SYNTAX_CALL,
27058 +      AVR32_V1, NULL, AVR32_PARSER_CALL,
27059 +      { NULL }, NULL,
27060 +      1,
27061 +      {
27062 +       AVR32_OPERAND_JMPLABEL,
27063 +      },
27064 +    },
27065 +    {
27066 +      AVR32_SYNTAX_PICOSVMAC0,
27067 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSVMAC], AVR32_PARSER_ALIAS,
27068 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSVMAC0] },
27069 +      &avr32_syntax_table[AVR32_SYNTAX_PICOSVMAC1], 4,
27070 +      {
27071 +       AVR32_OPERAND_PICO_OUT0,
27072 +       AVR32_OPERAND_PICO_IN,
27073 +       AVR32_OPERAND_PICO_IN,
27074 +       AVR32_OPERAND_PICO_IN,
27075 +      },
27076 +    },
27077 +    {
27078 +      AVR32_SYNTAX_PICOSVMAC1,
27079 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSVMAC], AVR32_PARSER_ALIAS,
27080 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSVMAC1] },
27081 +      &avr32_syntax_table[AVR32_SYNTAX_PICOSVMAC2], 4,
27082 +      {
27083 +       AVR32_OPERAND_PICO_OUT1,
27084 +       AVR32_OPERAND_PICO_IN,
27085 +       AVR32_OPERAND_PICO_IN,
27086 +       AVR32_OPERAND_PICO_IN,
27087 +      },
27088 +    },
27089 +    {
27090 +      AVR32_SYNTAX_PICOSVMAC2,
27091 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSVMAC], AVR32_PARSER_ALIAS,
27092 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSVMAC2] },
27093 +      &avr32_syntax_table[AVR32_SYNTAX_PICOSVMAC3], 4,
27094 +      {
27095 +       AVR32_OPERAND_PICO_OUT2,
27096 +       AVR32_OPERAND_PICO_IN,
27097 +       AVR32_OPERAND_PICO_IN,
27098 +       AVR32_OPERAND_PICO_IN,
27099 +      },
27100 +    },
27101 +    {
27102 +      AVR32_SYNTAX_PICOSVMAC3,
27103 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSVMAC], AVR32_PARSER_ALIAS,
27104 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSVMAC3] },
27105 +      NULL, 4,
27106 +      {
27107 +       AVR32_OPERAND_PICO_OUT3,
27108 +       AVR32_OPERAND_PICO_IN,
27109 +       AVR32_OPERAND_PICO_IN,
27110 +       AVR32_OPERAND_PICO_IN,
27111 +      },
27112 +    },
27113 +    {
27114 +      AVR32_SYNTAX_PICOSVMUL0,
27115 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSVMUL], AVR32_PARSER_ALIAS,
27116 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSVMUL0] },
27117 +      &avr32_syntax_table[AVR32_SYNTAX_PICOSVMUL1], 4,
27118 +      {
27119 +       AVR32_OPERAND_PICO_OUT0,
27120 +       AVR32_OPERAND_PICO_IN,
27121 +       AVR32_OPERAND_PICO_IN,
27122 +       AVR32_OPERAND_PICO_IN,
27123 +      },
27124 +    },
27125 +    {
27126 +      AVR32_SYNTAX_PICOSVMUL1,
27127 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSVMUL], AVR32_PARSER_ALIAS,
27128 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSVMUL1] },
27129 +      &avr32_syntax_table[AVR32_SYNTAX_PICOSVMUL2], 4,
27130 +      {
27131 +       AVR32_OPERAND_PICO_OUT1,
27132 +       AVR32_OPERAND_PICO_IN,
27133 +       AVR32_OPERAND_PICO_IN,
27134 +       AVR32_OPERAND_PICO_IN,
27135 +      },
27136 +    },
27137 +    {
27138 +      AVR32_SYNTAX_PICOSVMUL2,
27139 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSVMUL], AVR32_PARSER_ALIAS,
27140 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSVMUL2] },
27141 +      &avr32_syntax_table[AVR32_SYNTAX_PICOSVMUL3], 4,
27142 +      {
27143 +       AVR32_OPERAND_PICO_OUT2,
27144 +       AVR32_OPERAND_PICO_IN,
27145 +       AVR32_OPERAND_PICO_IN,
27146 +       AVR32_OPERAND_PICO_IN,
27147 +      },
27148 +    },
27149 +    {
27150 +      AVR32_SYNTAX_PICOSVMUL3,
27151 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSVMUL], AVR32_PARSER_ALIAS,
27152 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSVMUL3] },
27153 +      NULL, 4,
27154 +      {
27155 +       AVR32_OPERAND_PICO_OUT3,
27156 +       AVR32_OPERAND_PICO_IN,
27157 +       AVR32_OPERAND_PICO_IN,
27158 +       AVR32_OPERAND_PICO_IN,
27159 +      },
27160 +    },
27161 +    {
27162 +      AVR32_SYNTAX_PICOVMAC0,
27163 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOVMAC], AVR32_PARSER_ALIAS,
27164 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOVMAC0] },
27165 +      &avr32_syntax_table[AVR32_SYNTAX_PICOVMAC1], 4,
27166 +      {
27167 +       AVR32_OPERAND_PICO_OUT0,
27168 +       AVR32_OPERAND_PICO_IN,
27169 +       AVR32_OPERAND_PICO_IN,
27170 +       AVR32_OPERAND_PICO_IN,
27171 +      },
27172 +    },
27173 +    {
27174 +      AVR32_SYNTAX_PICOVMAC1,
27175 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOVMAC], AVR32_PARSER_ALIAS,
27176 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOVMAC1] },
27177 +      &avr32_syntax_table[AVR32_SYNTAX_PICOVMAC2], 4,
27178 +      {
27179 +       AVR32_OPERAND_PICO_OUT1,
27180 +       AVR32_OPERAND_PICO_IN,
27181 +       AVR32_OPERAND_PICO_IN,
27182 +       AVR32_OPERAND_PICO_IN,
27183 +      },
27184 +    },
27185 +    {
27186 +      AVR32_SYNTAX_PICOVMAC2,
27187 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOVMAC], AVR32_PARSER_ALIAS,
27188 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOVMAC2] },
27189 +      &avr32_syntax_table[AVR32_SYNTAX_PICOVMAC3], 4,
27190 +      {
27191 +       AVR32_OPERAND_PICO_OUT2,
27192 +       AVR32_OPERAND_PICO_IN,
27193 +       AVR32_OPERAND_PICO_IN,
27194 +       AVR32_OPERAND_PICO_IN,
27195 +      },
27196 +    },
27197 +    {
27198 +      AVR32_SYNTAX_PICOVMAC3,
27199 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOVMAC], AVR32_PARSER_ALIAS,
27200 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOVMAC3] },
27201 +      NULL, 4,
27202 +      {
27203 +       AVR32_OPERAND_PICO_OUT3,
27204 +       AVR32_OPERAND_PICO_IN,
27205 +       AVR32_OPERAND_PICO_IN,
27206 +       AVR32_OPERAND_PICO_IN,
27207 +      },
27208 +    },
27209 +    {
27210 +      AVR32_SYNTAX_PICOVMUL0,
27211 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOVMUL], AVR32_PARSER_ALIAS,
27212 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOVMUL0] },
27213 +      &avr32_syntax_table[AVR32_SYNTAX_PICOVMUL1], 4,
27214 +      {
27215 +       AVR32_OPERAND_PICO_OUT0,
27216 +       AVR32_OPERAND_PICO_IN,
27217 +       AVR32_OPERAND_PICO_IN,
27218 +       AVR32_OPERAND_PICO_IN,
27219 +      },
27220 +    },
27221 +    {
27222 +      AVR32_SYNTAX_PICOVMUL1,
27223 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOVMUL], AVR32_PARSER_ALIAS,
27224 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOVMUL1] },
27225 +      &avr32_syntax_table[AVR32_SYNTAX_PICOVMUL2], 4,
27226 +      {
27227 +       AVR32_OPERAND_PICO_OUT1,
27228 +       AVR32_OPERAND_PICO_IN,
27229 +       AVR32_OPERAND_PICO_IN,
27230 +       AVR32_OPERAND_PICO_IN,
27231 +      },
27232 +    },
27233 +    {
27234 +      AVR32_SYNTAX_PICOVMUL2,
27235 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOVMUL], AVR32_PARSER_ALIAS,
27236 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOVMUL2] },
27237 +      &avr32_syntax_table[AVR32_SYNTAX_PICOVMUL3], 4,
27238 +      {
27239 +       AVR32_OPERAND_PICO_OUT2,
27240 +       AVR32_OPERAND_PICO_IN,
27241 +       AVR32_OPERAND_PICO_IN,
27242 +       AVR32_OPERAND_PICO_IN,
27243 +      },
27244 +    },
27245 +    {
27246 +      AVR32_SYNTAX_PICOVMUL3,
27247 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOVMUL], AVR32_PARSER_ALIAS,
27248 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOVMUL3] },
27249 +      NULL, 4,
27250 +      {
27251 +       AVR32_OPERAND_PICO_OUT3,
27252 +       AVR32_OPERAND_PICO_IN,
27253 +       AVR32_OPERAND_PICO_IN,
27254 +       AVR32_OPERAND_PICO_IN,
27255 +      },
27256 +    },
27257 +    {
27258 +      AVR32_SYNTAX_PICOLD_D2,
27259 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLD_D], AVR32_PARSER_ALIAS,
27260 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLD_D2] },
27261 +      &avr32_syntax_table[AVR32_SYNTAX_PICOLD_D3], 2,
27262 +      {
27263 +       AVR32_OPERAND_PICO_REG_D,
27264 +       AVR32_OPERAND_INTREG_PREDEC,
27265 +      },
27266 +    },
27267 +    {
27268 +      AVR32_SYNTAX_PICOLD_D3,
27269 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLD_D], AVR32_PARSER_ALIAS,
27270 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLD_D3] },
27271 +      &avr32_syntax_table[AVR32_SYNTAX_PICOLD_D1], 2,
27272 +      {
27273 +       AVR32_OPERAND_PICO_REG_D,
27274 +       AVR32_OPERAND_INTREG_INDEX,
27275 +      },
27276 +    },
27277 +    {
27278 +      AVR32_SYNTAX_PICOLD_D1,
27279 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLD_D], AVR32_PARSER_ALIAS,
27280 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLD_D1] },
27281 +      NULL, 2,
27282 +      {
27283 +       AVR32_OPERAND_PICO_REG_D,
27284 +       AVR32_OPERAND_INTREG_UDISP_W,
27285 +      },
27286 +    },
27287 +    {
27288 +      AVR32_SYNTAX_PICOLD_W2,
27289 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLD_W], AVR32_PARSER_ALIAS,
27290 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLD_W2] },
27291 +      &avr32_syntax_table[AVR32_SYNTAX_PICOLD_W3], 2,
27292 +      {
27293 +       AVR32_OPERAND_PICO_REG_W,
27294 +       AVR32_OPERAND_INTREG_PREDEC,
27295 +      },
27296 +    },
27297 +    {
27298 +      AVR32_SYNTAX_PICOLD_W3,
27299 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLD_W], AVR32_PARSER_ALIAS,
27300 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLD_W3] },
27301 +      &avr32_syntax_table[AVR32_SYNTAX_PICOLD_W1], 2,
27302 +      {
27303 +       AVR32_OPERAND_PICO_REG_W,
27304 +       AVR32_OPERAND_INTREG_INDEX,
27305 +      },
27306 +    },
27307 +    {
27308 +      AVR32_SYNTAX_PICOLD_W1,
27309 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLD_W], AVR32_PARSER_ALIAS,
27310 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLD_W1] },
27311 +      NULL, 2,
27312 +      {
27313 +       AVR32_OPERAND_PICO_REG_W,
27314 +       AVR32_OPERAND_INTREG_UDISP_W,
27315 +      },
27316 +    },
27317 +    {
27318 +      AVR32_SYNTAX_PICOLDM_D,
27319 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLDM_D], AVR32_PARSER_ALIAS,
27320 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLDM_D] },
27321 +      &avr32_syntax_table[AVR32_SYNTAX_PICOLDM_D_PU], -2,
27322 +      {
27323 +       AVR32_OPERAND_INTREG,
27324 +       AVR32_OPERAND_PICO_REGLIST_D,
27325 +      },
27326 +    },
27327 +    {
27328 +      AVR32_SYNTAX_PICOLDM_D_PU,
27329 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLDM_D], AVR32_PARSER_ALIAS,
27330 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLDM_D_PU] },
27331 +      NULL, -2,
27332 +      {
27333 +       AVR32_OPERAND_INTREG_POSTINC,
27334 +       AVR32_OPERAND_PICO_REGLIST_D,
27335 +      },
27336 +    },
27337 +    {
27338 +      AVR32_SYNTAX_PICOLDM_W,
27339 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLDM_W], AVR32_PARSER_ALIAS,
27340 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLDM_W] },
27341 +      &avr32_syntax_table[AVR32_SYNTAX_PICOLDM_W_PU], -2,
27342 +      {
27343 +       AVR32_OPERAND_INTREG,
27344 +       AVR32_OPERAND_PICO_REGLIST_W,
27345 +      },
27346 +    },
27347 +    {
27348 +      AVR32_SYNTAX_PICOLDM_W_PU,
27349 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOLDM_W], AVR32_PARSER_ALIAS,
27350 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOLDM_W_PU] },
27351 +      NULL, -2,
27352 +      {
27353 +       AVR32_OPERAND_INTREG_POSTINC,
27354 +       AVR32_OPERAND_PICO_REGLIST_W,
27355 +      },
27356 +    },
27357 +    {
27358 +      AVR32_SYNTAX_PICOMV_D1,
27359 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOMV_D], AVR32_PARSER_ALIAS,
27360 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOMV_D1] },
27361 +      &avr32_syntax_table[AVR32_SYNTAX_PICOMV_D2], 2,
27362 +      {
27363 +       AVR32_OPERAND_DWREG,
27364 +       AVR32_OPERAND_PICO_REG_D,
27365 +      },
27366 +    },
27367 +    {
27368 +      AVR32_SYNTAX_PICOMV_D2,
27369 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOMV_D], AVR32_PARSER_ALIAS,
27370 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOMV_D2] },
27371 +      NULL, 2,
27372 +      {
27373 +       AVR32_OPERAND_PICO_REG_D,
27374 +       AVR32_OPERAND_DWREG,
27375 +      },
27376 +    },
27377 +    {
27378 +      AVR32_SYNTAX_PICOMV_W1,
27379 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOMV_W], AVR32_PARSER_ALIAS,
27380 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOMV_W1] },
27381 +      &avr32_syntax_table[AVR32_SYNTAX_PICOMV_W2], 2,
27382 +      {
27383 +       AVR32_OPERAND_INTREG,
27384 +       AVR32_OPERAND_PICO_REG_W,
27385 +      },
27386 +    },
27387 +    {
27388 +      AVR32_SYNTAX_PICOMV_W2,
27389 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOMV_W], AVR32_PARSER_ALIAS,
27390 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOMV_W2] },
27391 +      NULL, 2,
27392 +      {
27393 +       AVR32_OPERAND_PICO_REG_W,
27394 +       AVR32_OPERAND_INTREG,
27395 +      },
27396 +    },
27397 +    {
27398 +      AVR32_SYNTAX_PICOST_D2,
27399 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOST_D], AVR32_PARSER_ALIAS,
27400 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOST_D2] },
27401 +      &avr32_syntax_table[AVR32_SYNTAX_PICOST_D3], 2,
27402 +      {
27403 +       AVR32_OPERAND_INTREG_POSTINC,
27404 +       AVR32_OPERAND_PICO_REG_D,
27405 +      },
27406 +    },
27407 +    {
27408 +      AVR32_SYNTAX_PICOST_D3,
27409 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOST_D], AVR32_PARSER_ALIAS,
27410 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOST_D3] },
27411 +      &avr32_syntax_table[AVR32_SYNTAX_PICOST_D1], 2,
27412 +      {
27413 +       AVR32_OPERAND_INTREG_INDEX,
27414 +       AVR32_OPERAND_PICO_REG_D,
27415 +      },
27416 +    },
27417 +    {
27418 +      AVR32_SYNTAX_PICOST_D1,
27419 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOST_D], AVR32_PARSER_ALIAS,
27420 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOST_D1] },
27421 +      NULL, 2,
27422 +      {
27423 +       AVR32_OPERAND_INTREG_UDISP_W,
27424 +       AVR32_OPERAND_PICO_REG_D,
27425 +      },
27426 +    },
27427 +    {
27428 +      AVR32_SYNTAX_PICOST_W2,
27429 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOST_W], AVR32_PARSER_ALIAS,
27430 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOST_W2] },
27431 +      &avr32_syntax_table[AVR32_SYNTAX_PICOST_W3], 2,
27432 +      {
27433 +       AVR32_OPERAND_INTREG_POSTINC,
27434 +       AVR32_OPERAND_PICO_REG_W,
27435 +      },
27436 +    },
27437 +    {
27438 +      AVR32_SYNTAX_PICOST_W3,
27439 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOST_W], AVR32_PARSER_ALIAS,
27440 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOST_W3] },
27441 +      &avr32_syntax_table[AVR32_SYNTAX_PICOST_W1], 2,
27442 +      {
27443 +       AVR32_OPERAND_INTREG_INDEX,
27444 +       AVR32_OPERAND_PICO_REG_W,
27445 +      },
27446 +    },
27447 +    {
27448 +      AVR32_SYNTAX_PICOST_W1,
27449 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOST_W], AVR32_PARSER_ALIAS,
27450 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOST_W1] },
27451 +      NULL, 2,
27452 +      {
27453 +       AVR32_OPERAND_INTREG_UDISP_W,
27454 +       AVR32_OPERAND_PICO_REG_W,
27455 +      },
27456 +    },
27457 +    {
27458 +      AVR32_SYNTAX_PICOSTM_D,
27459 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSTM_D], AVR32_PARSER_ALIAS,
27460 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSTM_D] },
27461 +      &avr32_syntax_table[AVR32_SYNTAX_PICOSTM_D_PU], -2,
27462 +      {
27463 +       AVR32_OPERAND_INTREG,
27464 +       AVR32_OPERAND_PICO_REGLIST_D,
27465 +      },
27466 +    },
27467 +    {
27468 +      AVR32_SYNTAX_PICOSTM_D_PU,
27469 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSTM_D], AVR32_PARSER_ALIAS,
27470 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSTM_D_PU] },
27471 +      NULL, -2,
27472 +      {
27473 +       AVR32_OPERAND_INTREG_PREDEC,
27474 +       AVR32_OPERAND_PICO_REGLIST_D,
27475 +      },
27476 +    },
27477 +    {
27478 +      AVR32_SYNTAX_PICOSTM_W,
27479 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSTM_W], AVR32_PARSER_ALIAS,
27480 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSTM_W] },
27481 +      &avr32_syntax_table[AVR32_SYNTAX_PICOSTM_W_PU], -2,
27482 +      {
27483 +       AVR32_OPERAND_INTREG,
27484 +       AVR32_OPERAND_PICO_REGLIST_W,
27485 +      },
27486 +    },
27487 +    {
27488 +      AVR32_SYNTAX_PICOSTM_W_PU,
27489 +      AVR32_PICO, &avr32_mnemonic_table[AVR32_MNEMONIC_PICOSTM_W], AVR32_PARSER_ALIAS,
27490 +      { .alias = &avr32_alias_table[AVR32_ALIAS_PICOSTM_W_PU] },
27491 +      NULL, -2,
27492 +      {
27493 +       AVR32_OPERAND_INTREG_PREDEC,
27494 +       AVR32_OPERAND_PICO_REGLIST_W,
27495 +      },
27496 +    },
27497 +    SYNTAX_NORMAL2(RSUBEQ, RSUBEQ, RSUBEQ, INTREG, SIGNED_CONST, AVR32_V1),
27498 +    SYNTAX_NORMAL2(RSUBNE, RSUBNE, RSUBNE, INTREG, SIGNED_CONST, AVR32_V2),
27499 +    SYNTAX_NORMAL2(RSUBCC, RSUBCC, RSUBCC, INTREG, SIGNED_CONST, AVR32_V2),
27500 +    SYNTAX_NORMAL2(RSUBCS, RSUBCS, RSUBCS, INTREG, SIGNED_CONST, AVR32_V2),
27501 +    SYNTAX_NORMAL2(RSUBGE, RSUBGE, RSUBGE, INTREG, SIGNED_CONST, AVR32_V2),
27502 +    SYNTAX_NORMAL2(RSUBLT, RSUBLT, RSUBLT, INTREG, SIGNED_CONST, AVR32_V2),
27503 +    SYNTAX_NORMAL2(RSUBMI, RSUBMI, RSUBMI, INTREG, SIGNED_CONST, AVR32_V2),
27504 +    SYNTAX_NORMAL2(RSUBPL, RSUBPL, RSUBPL, INTREG, SIGNED_CONST, AVR32_V2),
27505 +    SYNTAX_NORMAL2(RSUBLS, RSUBLS, RSUBLS, INTREG, SIGNED_CONST, AVR32_V2),
27506 +    SYNTAX_NORMAL2(RSUBGT, RSUBGT, RSUBGT, INTREG, SIGNED_CONST, AVR32_V2),
27507 +    SYNTAX_NORMAL2(RSUBLE, RSUBLE, RSUBLE, INTREG, SIGNED_CONST, AVR32_V2),
27508 +    SYNTAX_NORMAL2(RSUBHI, RSUBHI, RSUBHI, INTREG, SIGNED_CONST, AVR32_V2),
27509 +    SYNTAX_NORMAL2(RSUBVS, RSUBVS, RSUBVS, INTREG, SIGNED_CONST, AVR32_V2),
27510 +    SYNTAX_NORMAL2(RSUBVC, RSUBVC, RSUBVC, INTREG, SIGNED_CONST, AVR32_V2),
27511 +    SYNTAX_NORMAL2(RSUBQS, RSUBQS, RSUBQS, INTREG, SIGNED_CONST, AVR32_V2),
27512 +    SYNTAX_NORMAL2(RSUBAL, RSUBAL, RSUBAL, INTREG, SIGNED_CONST, AVR32_V2),
27513 +    SYNTAX_NORMAL2(RSUBHS, RSUBHS, RSUBCC, INTREG, SIGNED_CONST, AVR32_V2),
27514 +    SYNTAX_NORMAL2(RSUBLO, RSUBLO, RSUBCS, INTREG, SIGNED_CONST, AVR32_V2),
27515 +    SYNTAX_NORMAL3(ADDEQ, ADDEQ, ADDEQ, INTREG, INTREG, INTREG, AVR32_V2),
27516 +    SYNTAX_NORMAL3(ADDNE, ADDNE, ADDNE, INTREG, INTREG, INTREG, AVR32_V2),
27517 +    SYNTAX_NORMAL3(ADDCC, ADDCC, ADDCC, INTREG, INTREG, INTREG, AVR32_V2),
27518 +    SYNTAX_NORMAL3(ADDCS, ADDCS, ADDCS, INTREG, INTREG, INTREG, AVR32_V2),
27519 +    SYNTAX_NORMAL3(ADDGE, ADDGE, ADDGE, INTREG, INTREG, INTREG, AVR32_V2),
27520 +    SYNTAX_NORMAL3(ADDLT, ADDLT, ADDLT, INTREG, INTREG, INTREG, AVR32_V2),
27521 +    SYNTAX_NORMAL3(ADDMI, ADDMI, ADDMI, INTREG, INTREG, INTREG, AVR32_V2),
27522 +    SYNTAX_NORMAL3(ADDPL, ADDPL, ADDPL, INTREG, INTREG, INTREG, AVR32_V2),
27523 +    SYNTAX_NORMAL3(ADDLS, ADDLS, ADDLS, INTREG, INTREG, INTREG, AVR32_V2),
27524 +    SYNTAX_NORMAL3(ADDGT, ADDGT, ADDGT, INTREG, INTREG, INTREG, AVR32_V2),
27525 +    SYNTAX_NORMAL3(ADDLE, ADDLE, ADDLE, INTREG, INTREG, INTREG, AVR32_V2),
27526 +    SYNTAX_NORMAL3(ADDHI, ADDHI, ADDHI, INTREG, INTREG, INTREG, AVR32_V2),
27527 +    SYNTAX_NORMAL3(ADDVS, ADDVS, ADDVS, INTREG, INTREG, INTREG, AVR32_V2),
27528 +    SYNTAX_NORMAL3(ADDVC, ADDVC, ADDVC, INTREG, INTREG, INTREG, AVR32_V2),
27529 +    SYNTAX_NORMAL3(ADDQS, ADDQS, ADDQS, INTREG, INTREG, INTREG, AVR32_V2),
27530 +    SYNTAX_NORMAL3(ADDAL, ADDAL, ADDAL, INTREG, INTREG, INTREG, AVR32_V2),
27531 +    SYNTAX_NORMAL3(ADDHS, ADDHS, ADDCC, INTREG, INTREG, INTREG, AVR32_V2),
27532 +    SYNTAX_NORMAL3(ADDLO, ADDLO, ADDCS, INTREG, INTREG, INTREG, AVR32_V2),
27533 +    SYNTAX_NORMAL3(SUB2EQ, SUBEQ, SUB2EQ, INTREG, INTREG, INTREG, AVR32_V2),
27534 +    SYNTAX_NORMAL3(SUB2NE, SUBNE, SUB2NE, INTREG, INTREG, INTREG, AVR32_V2),
27535 +    SYNTAX_NORMAL3(SUB2CC, SUBCC, SUB2CC, INTREG, INTREG, INTREG, AVR32_V2),
27536 +    SYNTAX_NORMAL3(SUB2CS, SUBCS, SUB2CS, INTREG, INTREG, INTREG, AVR32_V2),
27537 +    SYNTAX_NORMAL3(SUB2GE, SUBGE, SUB2GE, INTREG, INTREG, INTREG, AVR32_V2),
27538 +    SYNTAX_NORMAL3(SUB2LT, SUBLT, SUB2LT, INTREG, INTREG, INTREG, AVR32_V2),
27539 +    SYNTAX_NORMAL3(SUB2MI, SUBMI, SUB2MI, INTREG, INTREG, INTREG, AVR32_V2),
27540 +    SYNTAX_NORMAL3(SUB2PL, SUBPL, SUB2PL, INTREG, INTREG, INTREG, AVR32_V2),
27541 +    SYNTAX_NORMAL3(SUB2LS, SUBLS, SUB2LS, INTREG, INTREG, INTREG, AVR32_V2),
27542 +    SYNTAX_NORMAL3(SUB2GT, SUBGT, SUB2GT, INTREG, INTREG, INTREG, AVR32_V2),
27543 +    SYNTAX_NORMAL3(SUB2LE, SUBLE, SUB2LE, INTREG, INTREG, INTREG, AVR32_V2),
27544 +    SYNTAX_NORMAL3(SUB2HI, SUBHI, SUB2HI, INTREG, INTREG, INTREG, AVR32_V2),
27545 +    SYNTAX_NORMAL3(SUB2VS, SUBVS, SUB2VS, INTREG, INTREG, INTREG, AVR32_V2),
27546 +    SYNTAX_NORMAL3(SUB2VC, SUBVC, SUB2VC, INTREG, INTREG, INTREG, AVR32_V2),
27547 +    SYNTAX_NORMAL3(SUB2QS, SUBQS, SUB2QS, INTREG, INTREG, INTREG, AVR32_V2),
27548 +    SYNTAX_NORMAL3(SUB2AL, SUBAL, SUB2AL, INTREG, INTREG, INTREG, AVR32_V2),
27549 +    SYNTAX_NORMAL3(SUB2HS, SUBHS, SUB2CC, INTREG, INTREG, INTREG, AVR32_V2),
27550 +    SYNTAX_NORMAL3(SUB2LO, SUBLO, SUB2CS, INTREG, INTREG, INTREG, AVR32_V2),
27551 +    SYNTAX_NORMAL3(ANDEQ, ANDEQ, ANDEQ, INTREG, INTREG, INTREG, AVR32_V2),
27552 +    SYNTAX_NORMAL3(ANDNE, ANDNE, ANDNE, INTREG, INTREG, INTREG, AVR32_V2),
27553 +    SYNTAX_NORMAL3(ANDCC, ANDCC, ANDCC, INTREG, INTREG, INTREG, AVR32_V2),
27554 +    SYNTAX_NORMAL3(ANDCS, ANDCS, ANDCS, INTREG, INTREG, INTREG, AVR32_V2),
27555 +    SYNTAX_NORMAL3(ANDGE, ANDGE, ANDGE, INTREG, INTREG, INTREG, AVR32_V2),
27556 +    SYNTAX_NORMAL3(ANDLT, ANDLT, ANDLT, INTREG, INTREG, INTREG, AVR32_V2),
27557 +    SYNTAX_NORMAL3(ANDMI, ANDMI, ANDMI, INTREG, INTREG, INTREG, AVR32_V2),
27558 +    SYNTAX_NORMAL3(ANDPL, ANDPL, ANDPL, INTREG, INTREG, INTREG, AVR32_V2),
27559 +    SYNTAX_NORMAL3(ANDLS, ANDLS, ANDLS, INTREG, INTREG, INTREG, AVR32_V2),
27560 +    SYNTAX_NORMAL3(ANDGT, ANDGT, ANDGT, INTREG, INTREG, INTREG, AVR32_V2),
27561 +    SYNTAX_NORMAL3(ANDLE, ANDLE, ANDLE, INTREG, INTREG, INTREG, AVR32_V2),
27562 +    SYNTAX_NORMAL3(ANDHI, ANDHI, ANDHI, INTREG, INTREG, INTREG, AVR32_V2),
27563 +    SYNTAX_NORMAL3(ANDVS, ANDVS, ANDVS, INTREG, INTREG, INTREG, AVR32_V2),
27564 +    SYNTAX_NORMAL3(ANDVC, ANDVC, ANDVC, INTREG, INTREG, INTREG, AVR32_V2),
27565 +    SYNTAX_NORMAL3(ANDQS, ANDQS, ANDQS, INTREG, INTREG, INTREG, AVR32_V2),
27566 +    SYNTAX_NORMAL3(ANDAL, ANDAL, ANDAL, INTREG, INTREG, INTREG, AVR32_V2),
27567 +    SYNTAX_NORMAL3(ANDHS, ANDHS, ANDCC, INTREG, INTREG, INTREG, AVR32_V2),
27568 +    SYNTAX_NORMAL3(ANDLO, ANDLO, ANDCS, INTREG, INTREG, INTREG, AVR32_V2),
27569 +    SYNTAX_NORMAL3(OREQ, OREQ, OREQ, INTREG, INTREG, INTREG, AVR32_V2),
27570 +    SYNTAX_NORMAL3(ORNE, ORNE, ORNE, INTREG, INTREG, INTREG, AVR32_V2),
27571 +    SYNTAX_NORMAL3(ORCC, ORCC, ORCC, INTREG, INTREG, INTREG, AVR32_V2),
27572 +    SYNTAX_NORMAL3(ORCS, ORCS, ORCS, INTREG, INTREG, INTREG, AVR32_V2),
27573 +    SYNTAX_NORMAL3(ORGE, ORGE, ORGE, INTREG, INTREG, INTREG, AVR32_V2),
27574 +    SYNTAX_NORMAL3(ORLT, ORLT, ORLT, INTREG, INTREG, INTREG, AVR32_V2),
27575 +    SYNTAX_NORMAL3(ORMI, ORMI, ORMI, INTREG, INTREG, INTREG, AVR32_V2),
27576 +    SYNTAX_NORMAL3(ORPL, ORPL, ORPL, INTREG, INTREG, INTREG, AVR32_V2),
27577 +    SYNTAX_NORMAL3(ORLS, ORLS, ORLS, INTREG, INTREG, INTREG, AVR32_V2),
27578 +    SYNTAX_NORMAL3(ORGT, ORGT, ORGT, INTREG, INTREG, INTREG, AVR32_V2),
27579 +    SYNTAX_NORMAL3(ORLE, ORLE, ORLE, INTREG, INTREG, INTREG, AVR32_V2),
27580 +    SYNTAX_NORMAL3(ORHI, ORHI, ORHI, INTREG, INTREG, INTREG, AVR32_V2),
27581 +    SYNTAX_NORMAL3(ORVS, ORVS, ORVS, INTREG, INTREG, INTREG, AVR32_V2),
27582 +    SYNTAX_NORMAL3(ORVC, ORVC, ORVC, INTREG, INTREG, INTREG, AVR32_V2),
27583 +    SYNTAX_NORMAL3(ORQS, ORQS, ORQS, INTREG, INTREG, INTREG, AVR32_V2),
27584 +    SYNTAX_NORMAL3(ORAL, ORAL, ORAL, INTREG, INTREG, INTREG, AVR32_V2),
27585 +    SYNTAX_NORMAL3(ORHS, ORHS, ORCC, INTREG, INTREG, INTREG, AVR32_V2),
27586 +    SYNTAX_NORMAL3(ORLO, ORLO, ORCS, INTREG, INTREG, INTREG, AVR32_V2),
27587 +    SYNTAX_NORMAL3(EOREQ, EOREQ, EOREQ, INTREG, INTREG, INTREG, AVR32_V2),
27588 +    SYNTAX_NORMAL3(EORNE, EORNE, EORNE, INTREG, INTREG, INTREG, AVR32_V2),
27589 +    SYNTAX_NORMAL3(EORCC, EORCC, EORCC, INTREG, INTREG, INTREG, AVR32_V2),
27590 +    SYNTAX_NORMAL3(EORCS, EORCS, EORCS, INTREG, INTREG, INTREG, AVR32_V2),
27591 +    SYNTAX_NORMAL3(EORGE, EORGE, EORGE, INTREG, INTREG, INTREG, AVR32_V2),
27592 +    SYNTAX_NORMAL3(EORLT, EORLT, EORLT, INTREG, INTREG, INTREG, AVR32_V2),
27593 +    SYNTAX_NORMAL3(EORMI, EORMI, EORMI, INTREG, INTREG, INTREG, AVR32_V2),
27594 +    SYNTAX_NORMAL3(EORPL, EORPL, EORPL, INTREG, INTREG, INTREG, AVR32_V2),
27595 +    SYNTAX_NORMAL3(EORLS, EORLS, EORLS, INTREG, INTREG, INTREG, AVR32_V2),
27596 +    SYNTAX_NORMAL3(EORGT, EORGT, EORGT, INTREG, INTREG, INTREG, AVR32_V2),
27597 +    SYNTAX_NORMAL3(EORLE, EORLE, EORLE, INTREG, INTREG, INTREG, AVR32_V2),
27598 +    SYNTAX_NORMAL3(EORHI, EORHI, EORHI, INTREG, INTREG, INTREG, AVR32_V2),
27599 +    SYNTAX_NORMAL3(EORVS, EORVS, EORVS, INTREG, INTREG, INTREG, AVR32_V2),
27600 +    SYNTAX_NORMAL3(EORVC, EORVC, EORVC, INTREG, INTREG, INTREG, AVR32_V2),
27601 +    SYNTAX_NORMAL3(EORQS, EORQS, EORQS, INTREG, INTREG, INTREG, AVR32_V2),
27602 +    SYNTAX_NORMAL3(EORAL, EORAL, EORAL, INTREG, INTREG, INTREG, AVR32_V2),
27603 +    SYNTAX_NORMAL3(EORHS, EORHS, EORCC, INTREG, INTREG, INTREG, AVR32_V2),
27604 +    SYNTAX_NORMAL3(EORLO, EORLO, EORCS, INTREG, INTREG, INTREG, AVR32_V2),
27605 +    SYNTAX_NORMAL2(LD_WEQ, LD_WEQ, LD_WEQ, INTREG, INTREG_UDISP_W, AVR32_V2),
27606 +    SYNTAX_NORMAL2(LD_WNE, LD_WNE, LD_WNE, INTREG, INTREG_UDISP_W, AVR32_V2),
27607 +    SYNTAX_NORMAL2(LD_WCC, LD_WCC, LD_WCC, INTREG, INTREG_UDISP_W, AVR32_V2),
27608 +    SYNTAX_NORMAL2(LD_WCS, LD_WCS, LD_WCS, INTREG, INTREG_UDISP_W, AVR32_V2),
27609 +    SYNTAX_NORMAL2(LD_WGE, LD_WGE, LD_WGE, INTREG, INTREG_UDISP_W, AVR32_V2),
27610 +    SYNTAX_NORMAL2(LD_WLT, LD_WLT, LD_WLT, INTREG, INTREG_UDISP_W, AVR32_V2),
27611 +    SYNTAX_NORMAL2(LD_WMI, LD_WMI, LD_WMI, INTREG, INTREG_UDISP_W, AVR32_V2),
27612 +    SYNTAX_NORMAL2(LD_WPL, LD_WPL, LD_WPL, INTREG, INTREG_UDISP_W, AVR32_V2),
27613 +    SYNTAX_NORMAL2(LD_WLS, LD_WLS, LD_WLS, INTREG, INTREG_UDISP_W, AVR32_V2),
27614 +    SYNTAX_NORMAL2(LD_WGT, LD_WGT, LD_WGT, INTREG, INTREG_UDISP_W, AVR32_V2),
27615 +    SYNTAX_NORMAL2(LD_WLE, LD_WLE, LD_WLE, INTREG, INTREG_UDISP_W, AVR32_V2),
27616 +    SYNTAX_NORMAL2(LD_WHI, LD_WHI, LD_WHI, INTREG, INTREG_UDISP_W, AVR32_V2),
27617 +    SYNTAX_NORMAL2(LD_WVS, LD_WVS, LD_WVS, INTREG, INTREG_UDISP_W, AVR32_V2),
27618 +    SYNTAX_NORMAL2(LD_WVC, LD_WVC, LD_WVC, INTREG, INTREG_UDISP_W, AVR32_V2),
27619 +    SYNTAX_NORMAL2(LD_WQS, LD_WQS, LD_WQS, INTREG, INTREG_UDISP_W, AVR32_V2),
27620 +    SYNTAX_NORMAL2(LD_WAL, LD_WAL, LD_WAL, INTREG, INTREG_UDISP_W, AVR32_V2),
27621 +    SYNTAX_NORMAL2(LD_WHS, LD_WHS, LD_WCC, INTREG, INTREG_UDISP_W, AVR32_V2),
27622 +    SYNTAX_NORMAL2(LD_WLO, LD_WLO, LD_WCS, INTREG, INTREG_UDISP_W, AVR32_V2),
27623 +    SYNTAX_NORMAL2(LD_SHEQ, LD_SHEQ, LD_SHEQ, INTREG, INTREG_UDISP_H, AVR32_V2),
27624 +    SYNTAX_NORMAL2(LD_SHNE, LD_SHNE, LD_SHNE, INTREG, INTREG_UDISP_H, AVR32_V2),
27625 +    SYNTAX_NORMAL2(LD_SHCC, LD_SHCC, LD_SHCC, INTREG, INTREG_UDISP_H, AVR32_V2),
27626 +    SYNTAX_NORMAL2(LD_SHCS, LD_SHCS, LD_SHCS, INTREG, INTREG_UDISP_H, AVR32_V2),
27627 +    SYNTAX_NORMAL2(LD_SHGE, LD_SHGE, LD_SHGE, INTREG, INTREG_UDISP_H, AVR32_V2),
27628 +    SYNTAX_NORMAL2(LD_SHLT, LD_SHLT, LD_SHLT, INTREG, INTREG_UDISP_H, AVR32_V2),
27629 +    SYNTAX_NORMAL2(LD_SHMI, LD_SHMI, LD_SHMI, INTREG, INTREG_UDISP_H, AVR32_V2),
27630 +    SYNTAX_NORMAL2(LD_SHPL, LD_SHPL, LD_SHPL, INTREG, INTREG_UDISP_H, AVR32_V2),
27631 +    SYNTAX_NORMAL2(LD_SHLS, LD_SHLS, LD_SHLS, INTREG, INTREG_UDISP_H, AVR32_V2),
27632 +    SYNTAX_NORMAL2(LD_SHGT, LD_SHGT, LD_SHGT, INTREG, INTREG_UDISP_H, AVR32_V2),
27633 +    SYNTAX_NORMAL2(LD_SHLE, LD_SHLE, LD_SHLE, INTREG, INTREG_UDISP_H, AVR32_V2),
27634 +    SYNTAX_NORMAL2(LD_SHHI, LD_SHHI, LD_SHHI, INTREG, INTREG_UDISP_H, AVR32_V2),
27635 +    SYNTAX_NORMAL2(LD_SHVS, LD_SHVS, LD_SHVS, INTREG, INTREG_UDISP_H, AVR32_V2),
27636 +    SYNTAX_NORMAL2(LD_SHVC, LD_SHVC, LD_SHVC, INTREG, INTREG_UDISP_H, AVR32_V2),
27637 +    SYNTAX_NORMAL2(LD_SHQS, LD_SHQS, LD_SHQS, INTREG, INTREG_UDISP_H, AVR32_V2),
27638 +    SYNTAX_NORMAL2(LD_SHAL, LD_SHAL, LD_SHAL, INTREG, INTREG_UDISP_H, AVR32_V2),
27639 +    SYNTAX_NORMAL2(LD_SHHS, LD_SHHS, LD_SHCC, INTREG, INTREG_UDISP_H, AVR32_V2),
27640 +    SYNTAX_NORMAL2(LD_SHLO, LD_SHLO, LD_SHCS, INTREG, INTREG_UDISP_H, AVR32_V2),
27641 +    SYNTAX_NORMAL2(LD_UHEQ, LD_UHEQ, LD_UHEQ, INTREG, INTREG_UDISP_H, AVR32_V2),
27642 +    SYNTAX_NORMAL2(LD_UHNE, LD_UHNE, LD_UHNE, INTREG, INTREG_UDISP_H, AVR32_V2),
27643 +    SYNTAX_NORMAL2(LD_UHCC, LD_UHCC, LD_UHCC, INTREG, INTREG_UDISP_H, AVR32_V2),
27644 +    SYNTAX_NORMAL2(LD_UHCS, LD_UHCS, LD_UHCS, INTREG, INTREG_UDISP_H, AVR32_V2),
27645 +    SYNTAX_NORMAL2(LD_UHGE, LD_UHGE, LD_UHGE, INTREG, INTREG_UDISP_H, AVR32_V2),
27646 +    SYNTAX_NORMAL2(LD_UHLT, LD_UHLT, LD_UHLT, INTREG, INTREG_UDISP_H, AVR32_V2),
27647 +    SYNTAX_NORMAL2(LD_UHMI, LD_UHMI, LD_UHMI, INTREG, INTREG_UDISP_H, AVR32_V2),
27648 +    SYNTAX_NORMAL2(LD_UHPL, LD_UHPL, LD_UHPL, INTREG, INTREG_UDISP_H, AVR32_V2),
27649 +    SYNTAX_NORMAL2(LD_UHLS, LD_UHLS, LD_UHLS, INTREG, INTREG_UDISP_H, AVR32_V2),
27650 +    SYNTAX_NORMAL2(LD_UHGT, LD_UHGT, LD_UHGT, INTREG, INTREG_UDISP_H, AVR32_V2),
27651 +    SYNTAX_NORMAL2(LD_UHLE, LD_UHLE, LD_UHLE, INTREG, INTREG_UDISP_H, AVR32_V2),
27652 +    SYNTAX_NORMAL2(LD_UHHI, LD_UHHI, LD_UHHI, INTREG, INTREG_UDISP_H, AVR32_V2),
27653 +    SYNTAX_NORMAL2(LD_UHVS, LD_UHVS, LD_UHVS, INTREG, INTREG_UDISP_H, AVR32_V2),
27654 +    SYNTAX_NORMAL2(LD_UHVC, LD_UHVC, LD_UHVC, INTREG, INTREG_UDISP_H, AVR32_V2),
27655 +    SYNTAX_NORMAL2(LD_UHQS, LD_UHQS, LD_UHQS, INTREG, INTREG_UDISP_H, AVR32_V2),
27656 +    SYNTAX_NORMAL2(LD_UHAL, LD_UHAL, LD_UHAL, INTREG, INTREG_UDISP_H, AVR32_V2),
27657 +    SYNTAX_NORMAL2(LD_UHHS, LD_UHHS, LD_UHCC, INTREG, INTREG_UDISP_H, AVR32_V2),
27658 +    SYNTAX_NORMAL2(LD_UHLO, LD_UHLO, LD_UHCS, INTREG, INTREG_UDISP_H, AVR32_V2),
27659 +    SYNTAX_NORMAL2(LD_SBEQ, LD_SBEQ, LD_SBEQ, INTREG, INTREG_UDISP, AVR32_V2),
27660 +    SYNTAX_NORMAL2(LD_SBNE, LD_SBNE, LD_SBNE, INTREG, INTREG_UDISP, AVR32_V2),
27661 +    SYNTAX_NORMAL2(LD_SBCC, LD_SBCC, LD_SBCC, INTREG, INTREG_UDISP, AVR32_V2),
27662 +    SYNTAX_NORMAL2(LD_SBCS, LD_SBCS, LD_SBCS, INTREG, INTREG_UDISP, AVR32_V2),
27663 +    SYNTAX_NORMAL2(LD_SBGE, LD_SBGE, LD_SBGE, INTREG, INTREG_UDISP, AVR32_V2),
27664 +    SYNTAX_NORMAL2(LD_SBLT, LD_SBLT, LD_SBLT, INTREG, INTREG_UDISP, AVR32_V2),
27665 +    SYNTAX_NORMAL2(LD_SBMI, LD_SBMI, LD_SBMI, INTREG, INTREG_UDISP, AVR32_V2),
27666 +    SYNTAX_NORMAL2(LD_SBPL, LD_SBPL, LD_SBPL, INTREG, INTREG_UDISP, AVR32_V2),
27667 +    SYNTAX_NORMAL2(LD_SBLS, LD_SBLS, LD_SBLS, INTREG, INTREG_UDISP, AVR32_V2),
27668 +    SYNTAX_NORMAL2(LD_SBGT, LD_SBGT, LD_SBGT, INTREG, INTREG_UDISP, AVR32_V2),
27669 +    SYNTAX_NORMAL2(LD_SBLE, LD_SBLE, LD_SBLE, INTREG, INTREG_UDISP, AVR32_V2),
27670 +    SYNTAX_NORMAL2(LD_SBHI, LD_SBHI, LD_SBHI, INTREG, INTREG_UDISP, AVR32_V2),
27671 +    SYNTAX_NORMAL2(LD_SBVS, LD_SBVS, LD_SBVS, INTREG, INTREG_UDISP, AVR32_V2),
27672 +    SYNTAX_NORMAL2(LD_SBVC, LD_SBVC, LD_SBVC, INTREG, INTREG_UDISP, AVR32_V2),
27673 +    SYNTAX_NORMAL2(LD_SBQS, LD_SBQS, LD_SBQS, INTREG, INTREG_UDISP, AVR32_V2),
27674 +    SYNTAX_NORMAL2(LD_SBAL, LD_SBAL, LD_SBAL, INTREG, INTREG_UDISP, AVR32_V2),
27675 +    SYNTAX_NORMAL2(LD_SBHS, LD_SBHS, LD_SBCC, INTREG, INTREG_UDISP, AVR32_V2),
27676 +    SYNTAX_NORMAL2(LD_SBLO, LD_SBLO, LD_SBCS, INTREG, INTREG_UDISP, AVR32_V2),
27677 +    SYNTAX_NORMAL2(LD_UBEQ, LD_UBEQ, LD_UBEQ, INTREG, INTREG_UDISP, AVR32_V2),
27678 +    SYNTAX_NORMAL2(LD_UBNE, LD_UBNE, LD_UBNE, INTREG, INTREG_UDISP, AVR32_V2),
27679 +    SYNTAX_NORMAL2(LD_UBCC, LD_UBCC, LD_UBCC, INTREG, INTREG_UDISP, AVR32_V2),
27680 +    SYNTAX_NORMAL2(LD_UBCS, LD_UBCS, LD_UBCS, INTREG, INTREG_UDISP, AVR32_V2),
27681 +    SYNTAX_NORMAL2(LD_UBGE, LD_UBGE, LD_UBGE, INTREG, INTREG_UDISP, AVR32_V2),
27682 +    SYNTAX_NORMAL2(LD_UBLT, LD_UBLT, LD_UBLT, INTREG, INTREG_UDISP, AVR32_V2),
27683 +    SYNTAX_NORMAL2(LD_UBMI, LD_UBMI, LD_UBMI, INTREG, INTREG_UDISP, AVR32_V2),
27684 +    SYNTAX_NORMAL2(LD_UBPL, LD_UBPL, LD_UBPL, INTREG, INTREG_UDISP, AVR32_V2),
27685 +    SYNTAX_NORMAL2(LD_UBLS, LD_UBLS, LD_UBLS, INTREG, INTREG_UDISP, AVR32_V2),
27686 +    SYNTAX_NORMAL2(LD_UBGT, LD_UBGT, LD_UBGT, INTREG, INTREG_UDISP, AVR32_V2),
27687 +    SYNTAX_NORMAL2(LD_UBLE, LD_UBLE, LD_UBLE, INTREG, INTREG_UDISP, AVR32_V2),
27688 +    SYNTAX_NORMAL2(LD_UBHI, LD_UBHI, LD_UBHI, INTREG, INTREG_UDISP, AVR32_V2),
27689 +    SYNTAX_NORMAL2(LD_UBVS, LD_UBVS, LD_UBVS, INTREG, INTREG_UDISP, AVR32_V2),
27690 +    SYNTAX_NORMAL2(LD_UBVC, LD_UBVC, LD_UBVC, INTREG, INTREG_UDISP, AVR32_V2),
27691 +    SYNTAX_NORMAL2(LD_UBQS, LD_UBQS, LD_UBQS, INTREG, INTREG_UDISP, AVR32_V2),
27692 +    SYNTAX_NORMAL2(LD_UBAL, LD_UBAL, LD_UBAL, INTREG, INTREG_UDISP, AVR32_V2),
27693 +    SYNTAX_NORMAL2(LD_UBHS, LD_UBHS, LD_UBCC, INTREG, INTREG_UDISP, AVR32_V2),
27694 +    SYNTAX_NORMAL2(LD_UBLO, LD_UBLO, LD_UBCS, INTREG, INTREG_UDISP, AVR32_V2),
27695 +    SYNTAX_NORMAL2(ST_WEQ, ST_WEQ, ST_WEQ, INTREG_UDISP_W, INTREG, AVR32_V2),
27696 +    SYNTAX_NORMAL2(ST_WNE, ST_WNE, ST_WNE, INTREG_UDISP_W, INTREG, AVR32_V2),
27697 +    SYNTAX_NORMAL2(ST_WCC, ST_WCC, ST_WCC, INTREG_UDISP_W, INTREG, AVR32_V2),
27698 +    SYNTAX_NORMAL2(ST_WCS, ST_WCS, ST_WCS, INTREG_UDISP_W, INTREG, AVR32_V2),
27699 +    SYNTAX_NORMAL2(ST_WGE, ST_WGE, ST_WGE, INTREG_UDISP_W, INTREG, AVR32_V2),
27700 +    SYNTAX_NORMAL2(ST_WLT, ST_WLT, ST_WLT, INTREG_UDISP_W, INTREG, AVR32_V2),
27701 +    SYNTAX_NORMAL2(ST_WMI, ST_WMI, ST_WMI, INTREG_UDISP_W, INTREG, AVR32_V2),
27702 +    SYNTAX_NORMAL2(ST_WPL, ST_WPL, ST_WPL, INTREG_UDISP_W, INTREG, AVR32_V2),
27703 +    SYNTAX_NORMAL2(ST_WLS, ST_WLS, ST_WLS, INTREG_UDISP_W, INTREG, AVR32_V2),
27704 +    SYNTAX_NORMAL2(ST_WGT, ST_WGT, ST_WGT, INTREG_UDISP_W, INTREG, AVR32_V2),
27705 +    SYNTAX_NORMAL2(ST_WLE, ST_WLE, ST_WLE, INTREG_UDISP_W, INTREG, AVR32_V2),
27706 +    SYNTAX_NORMAL2(ST_WHI, ST_WHI, ST_WHI, INTREG_UDISP_W, INTREG, AVR32_V2),
27707 +    SYNTAX_NORMAL2(ST_WVS, ST_WVS, ST_WVS, INTREG_UDISP_W, INTREG, AVR32_V2),
27708 +    SYNTAX_NORMAL2(ST_WVC, ST_WVC, ST_WVC, INTREG_UDISP_W, INTREG, AVR32_V2),
27709 +    SYNTAX_NORMAL2(ST_WQS, ST_WQS, ST_WQS, INTREG_UDISP_W, INTREG, AVR32_V2),
27710 +    SYNTAX_NORMAL2(ST_WAL, ST_WAL, ST_WAL, INTREG_UDISP_W, INTREG, AVR32_V2),
27711 +    SYNTAX_NORMAL2(ST_WHS, ST_WHS, ST_WCC, INTREG_UDISP_W, INTREG, AVR32_V2),
27712 +    SYNTAX_NORMAL2(ST_WLO, ST_WLO, ST_WCS, INTREG_UDISP_W, INTREG, AVR32_V2),
27713 +    SYNTAX_NORMAL2(ST_HEQ, ST_HEQ, ST_HEQ, INTREG_UDISP_H, INTREG, AVR32_V2),
27714 +    SYNTAX_NORMAL2(ST_HNE, ST_HNE, ST_HNE, INTREG_UDISP_H, INTREG, AVR32_V2),
27715 +    SYNTAX_NORMAL2(ST_HCC, ST_HCC, ST_HCC, INTREG_UDISP_H, INTREG, AVR32_V2),
27716 +    SYNTAX_NORMAL2(ST_HCS, ST_HCS, ST_HCS, INTREG_UDISP_H, INTREG, AVR32_V2),
27717 +    SYNTAX_NORMAL2(ST_HGE, ST_HGE, ST_HGE, INTREG_UDISP_H, INTREG, AVR32_V2),
27718 +    SYNTAX_NORMAL2(ST_HLT, ST_HLT, ST_HLT, INTREG_UDISP_H, INTREG, AVR32_V2),
27719 +    SYNTAX_NORMAL2(ST_HMI, ST_HMI, ST_HMI, INTREG_UDISP_H, INTREG, AVR32_V2),
27720 +    SYNTAX_NORMAL2(ST_HPL, ST_HPL, ST_HPL, INTREG_UDISP_H, INTREG, AVR32_V2),
27721 +    SYNTAX_NORMAL2(ST_HLS, ST_HLS, ST_HLS, INTREG_UDISP_H, INTREG, AVR32_V2),
27722 +    SYNTAX_NORMAL2(ST_HGT, ST_HGT, ST_HGT, INTREG_UDISP_H, INTREG, AVR32_V2),
27723 +    SYNTAX_NORMAL2(ST_HLE, ST_HLE, ST_HLE, INTREG_UDISP_H, INTREG, AVR32_V2),
27724 +    SYNTAX_NORMAL2(ST_HHI, ST_HHI, ST_HHI, INTREG_UDISP_H, INTREG, AVR32_V2),
27725 +    SYNTAX_NORMAL2(ST_HVS, ST_HVS, ST_HVS, INTREG_UDISP_H, INTREG, AVR32_V2),
27726 +    SYNTAX_NORMAL2(ST_HVC, ST_HVC, ST_HVC, INTREG_UDISP_H, INTREG, AVR32_V2),
27727 +    SYNTAX_NORMAL2(ST_HQS, ST_HQS, ST_HQS, INTREG_UDISP_H, INTREG, AVR32_V2),
27728 +    SYNTAX_NORMAL2(ST_HAL, ST_HAL, ST_HAL, INTREG_UDISP_H, INTREG, AVR32_V2),
27729 +    SYNTAX_NORMAL2(ST_HHS, ST_HHS, ST_HCC, INTREG_UDISP_H, INTREG, AVR32_V2),
27730 +    SYNTAX_NORMAL2(ST_HLO, ST_HLO, ST_HCS, INTREG_UDISP_H, INTREG, AVR32_V2),
27731 +    SYNTAX_NORMAL2(ST_BEQ, ST_BEQ, ST_BEQ, INTREG_UDISP, INTREG, AVR32_V2),
27732 +    SYNTAX_NORMAL2(ST_BNE, ST_BNE, ST_BNE, INTREG_UDISP, INTREG, AVR32_V2),
27733 +    SYNTAX_NORMAL2(ST_BCC, ST_BCC, ST_BCC, INTREG_UDISP, INTREG, AVR32_V2),
27734 +    SYNTAX_NORMAL2(ST_BCS, ST_BCS, ST_BCS, INTREG_UDISP, INTREG, AVR32_V2),
27735 +    SYNTAX_NORMAL2(ST_BGE, ST_BGE, ST_BGE, INTREG_UDISP, INTREG, AVR32_V2),
27736 +    SYNTAX_NORMAL2(ST_BLT, ST_BLT, ST_BLT, INTREG_UDISP, INTREG, AVR32_V2),
27737 +    SYNTAX_NORMAL2(ST_BMI, ST_BMI, ST_BMI, INTREG_UDISP, INTREG, AVR32_V2),
27738 +    SYNTAX_NORMAL2(ST_BPL, ST_BPL, ST_BPL, INTREG_UDISP, INTREG, AVR32_V2),
27739 +    SYNTAX_NORMAL2(ST_BLS, ST_BLS, ST_BLS, INTREG_UDISP, INTREG, AVR32_V2),
27740 +    SYNTAX_NORMAL2(ST_BGT, ST_BGT, ST_BGT, INTREG_UDISP, INTREG, AVR32_V2),
27741 +    SYNTAX_NORMAL2(ST_BLE, ST_BLE, ST_BLE, INTREG_UDISP, INTREG, AVR32_V2),
27742 +    SYNTAX_NORMAL2(ST_BHI, ST_BHI, ST_BHI, INTREG_UDISP, INTREG, AVR32_V2),
27743 +    SYNTAX_NORMAL2(ST_BVS, ST_BVS, ST_BVS, INTREG_UDISP, INTREG, AVR32_V2),
27744 +    SYNTAX_NORMAL2(ST_BVC, ST_BVC, ST_BVC, INTREG_UDISP, INTREG, AVR32_V2),
27745 +    SYNTAX_NORMAL2(ST_BQS, ST_BQS, ST_BQS, INTREG_UDISP, INTREG, AVR32_V2),
27746 +    SYNTAX_NORMAL2(ST_BAL, ST_BAL, ST_BAL, INTREG_UDISP, INTREG, AVR32_V2),
27747 +    SYNTAX_NORMAL2(ST_BHS, ST_BHS, ST_BCC, INTREG_UDISP, INTREG, AVR32_V2),
27748 +    SYNTAX_NORMAL2(ST_BLO, ST_BLO, ST_BCS, INTREG_UDISP, INTREG, AVR32_V2),
27749 +    SYNTAX_NORMAL2(MOVH, MOVH, MOVH, INTREG, UNSIGNED_CONST, AVR32_V2),
27750 +
27751 +  };
27752 +
27753 +#define NORMAL_MNEMONIC(name, syntax, str)             \
27754 +  {                                                    \
27755 +    AVR32_MNEMONIC_##name, str,                                \
27756 +    &avr32_syntax_table[AVR32_SYNTAX_##syntax],                \
27757 +  }
27758 +#define FP_MNEMONIC(name, syntax, str)                 \
27759 +  NORMAL_MNEMONIC(name##_S, syntax##_S, str ".s"),     \
27760 +  NORMAL_MNEMONIC(name##_D, syntax##_D, str ".d")
27761 +
27762 +const struct avr32_mnemonic avr32_mnemonic_table[] =
27763 +  {
27764 +    NORMAL_MNEMONIC(ABS, ABS, "abs"),
27765 +    NORMAL_MNEMONIC(ACALL, ACALL, "acall"),
27766 +    NORMAL_MNEMONIC(ACR, ACR, "acr"),
27767 +    NORMAL_MNEMONIC(ADC, ADC, "adc"),
27768 +    NORMAL_MNEMONIC(ADD, ADD1, "add"),
27769 +    NORMAL_MNEMONIC(ADDABS, ADDABS, "addabs"),
27770 +    NORMAL_MNEMONIC(ADDHH_W, ADDHH_W, "addhh.w"),
27771 +    NORMAL_MNEMONIC(AND, AND1, "and"),
27772 +    NORMAL_MNEMONIC(ANDH, ANDH, "andh"),
27773 +    NORMAL_MNEMONIC(ANDL, ANDL, "andl"),
27774 +    NORMAL_MNEMONIC(ANDN, ANDN, "andn"),
27775 +    NORMAL_MNEMONIC(ASR, ASR1, "asr"),
27776 +    NORMAL_MNEMONIC(BFEXTS, BFEXTS, "bfexts"),
27777 +    NORMAL_MNEMONIC(BFEXTU, BFEXTU, "bfextu"),
27778 +    NORMAL_MNEMONIC(BFINS, BFINS, "bfins"),
27779 +    NORMAL_MNEMONIC(BLD, BLD, "bld"),
27780 +    NORMAL_MNEMONIC(BREQ, BREQ1, "breq"),
27781 +    NORMAL_MNEMONIC(BRNE, BRNE1, "brne"),
27782 +    NORMAL_MNEMONIC(BRCC, BRCC1, "brcc"),
27783 +    NORMAL_MNEMONIC(BRCS, BRCS1, "brcs"),
27784 +    NORMAL_MNEMONIC(BRGE, BRGE1, "brge"),
27785 +    NORMAL_MNEMONIC(BRLT, BRLT1, "brlt"),
27786 +    NORMAL_MNEMONIC(BRMI, BRMI1, "brmi"),
27787 +    NORMAL_MNEMONIC(BRPL, BRPL1, "brpl"),
27788 +    NORMAL_MNEMONIC(BRHS, BRHS1, "brhs"),
27789 +    NORMAL_MNEMONIC(BRLO, BRLO1, "brlo"),
27790 +    NORMAL_MNEMONIC(BRLS, BRLS, "brls"),
27791 +    NORMAL_MNEMONIC(BRGT, BRGT, "brgt"),
27792 +    NORMAL_MNEMONIC(BRLE, BRLE, "brle"),
27793 +    NORMAL_MNEMONIC(BRHI, BRHI, "brhi"),
27794 +    NORMAL_MNEMONIC(BRVS, BRVS, "brvs"),
27795 +    NORMAL_MNEMONIC(BRVC, BRVC, "brvc"),
27796 +    NORMAL_MNEMONIC(BRQS, BRQS, "brqs"),
27797 +    NORMAL_MNEMONIC(BRAL, BRAL, "bral"),
27798 +    NORMAL_MNEMONIC(BREAKPOINT, BREAKPOINT, "breakpoint"),
27799 +    NORMAL_MNEMONIC(BREV, BREV, "brev"),
27800 +    NORMAL_MNEMONIC(BST, BST, "bst"),
27801 +    NORMAL_MNEMONIC(CACHE, CACHE, "cache"),
27802 +    NORMAL_MNEMONIC(CASTS_B, CASTS_B, "casts.b"),
27803 +    NORMAL_MNEMONIC(CASTS_H, CASTS_H, "casts.h"),
27804 +    NORMAL_MNEMONIC(CASTU_B, CASTU_B, "castu.b"),
27805 +    NORMAL_MNEMONIC(CASTU_H, CASTU_H, "castu.h"),
27806 +    NORMAL_MNEMONIC(CBR, CBR, "cbr"),
27807 +    NORMAL_MNEMONIC(CLZ, CLZ, "clz"),
27808 +    NORMAL_MNEMONIC(COM, COM, "com"),
27809 +    NORMAL_MNEMONIC(COP, COP, "cop"),
27810 +    NORMAL_MNEMONIC(CP_B, CP_B, "cp.b"),
27811 +    NORMAL_MNEMONIC(CP_H, CP_H, "cp.h"),
27812 +    NORMAL_MNEMONIC(CP_W, CP_W1, "cp.w"),
27813 +    NORMAL_MNEMONIC(CP, CP_W1, "cp"),
27814 +    NORMAL_MNEMONIC(CPC, CPC1, "cpc"),
27815 +    NORMAL_MNEMONIC(CSRF, CSRF, "csrf"),
27816 +    NORMAL_MNEMONIC(CSRFCZ, CSRFCZ, "csrfcz"),
27817 +    NORMAL_MNEMONIC(DIVS, DIVS, "divs"),
27818 +    NORMAL_MNEMONIC(DIVU, DIVU, "divu"),
27819 +    NORMAL_MNEMONIC(EOR, EOR1, "eor"),
27820 +    NORMAL_MNEMONIC(EORL, EORL, "eorl"),
27821 +    NORMAL_MNEMONIC(EORH, EORH, "eorh"),
27822 +    NORMAL_MNEMONIC(FRS, FRS, "frs"),
27823 +    NORMAL_MNEMONIC(SSCALL, SSCALL, "sscall"),
27824 +    NORMAL_MNEMONIC(RETSS, RETSS, "retss"),
27825 +    NORMAL_MNEMONIC(ICALL, ICALL, "icall"),
27826 +    NORMAL_MNEMONIC(INCJOSP, INCJOSP, "incjosp"),
27827 +    NORMAL_MNEMONIC(LD_D, LD_D1, "ld.d"),
27828 +    NORMAL_MNEMONIC(LD_SB, LD_SB2, "ld.sb"),
27829 +    NORMAL_MNEMONIC(LD_UB, LD_UB1, "ld.ub"),
27830 +    NORMAL_MNEMONIC(LD_SH, LD_SH1, "ld.sh"),
27831 +    NORMAL_MNEMONIC(LD_UH, LD_UH1, "ld.uh"),
27832 +    NORMAL_MNEMONIC(LD_W, LD_W1, "ld.w"),
27833 +    NORMAL_MNEMONIC(LDC_D, LDC_D3, "ldc.d"),
27834 +    NORMAL_MNEMONIC(LDC_W, LDC_W3, "ldc.w"),
27835 +    NORMAL_MNEMONIC(LDC0_D, LDC0_D, "ldc0.d"),
27836 +    NORMAL_MNEMONIC(LDC0_W, LDC0_W, "ldc0.w"),
27837 +    NORMAL_MNEMONIC(LDCM_D, LDCM_D, "ldcm.d"),
27838 +    NORMAL_MNEMONIC(LDCM_W, LDCM_W, "ldcm.w"),
27839 +    NORMAL_MNEMONIC(LDDPC, LDDPC, "lddpc"),
27840 +    NORMAL_MNEMONIC(LDDSP, LDDSP, "lddsp"),
27841 +    NORMAL_MNEMONIC(LDINS_B, LDINS_B, "ldins.b"),
27842 +    NORMAL_MNEMONIC(LDINS_H, LDINS_H, "ldins.h"),
27843 +    NORMAL_MNEMONIC(LDM, LDM, "ldm"),
27844 +    NORMAL_MNEMONIC(LDMTS, LDMTS, "ldmts"),
27845 +    NORMAL_MNEMONIC(LDSWP_SH, LDSWP_SH, "ldswp.sh"),
27846 +    NORMAL_MNEMONIC(LDSWP_UH, LDSWP_UH, "ldswp.uh"),
27847 +    NORMAL_MNEMONIC(LDSWP_W, LDSWP_W, "ldswp.w"),
27848 +    NORMAL_MNEMONIC(LSL, LSL1, "lsl"),
27849 +    NORMAL_MNEMONIC(LSR, LSR1, "lsr"),
27850 +    NORMAL_MNEMONIC(MAC, MAC, "mac"),
27851 +    NORMAL_MNEMONIC(MACHH_D, MACHH_D, "machh.d"),
27852 +    NORMAL_MNEMONIC(MACHH_W, MACHH_W, "machh.w"),
27853 +    NORMAL_MNEMONIC(MACS_D, MACS_D, "macs.d"),
27854 +    NORMAL_MNEMONIC(MACSATHH_W, MACSATHH_W, "macsathh.w"),
27855 +    NORMAL_MNEMONIC(MACU_D, MACUD, "macu.d"),
27856 +    NORMAL_MNEMONIC(MACWH_D, MACWH_D, "macwh.d"),
27857 +    NORMAL_MNEMONIC(MAX, MAX, "max"),
27858 +    NORMAL_MNEMONIC(MCALL, MCALL, "mcall"),
27859 +    NORMAL_MNEMONIC(MFDR, MFDR, "mfdr"),
27860 +    NORMAL_MNEMONIC(MFSR, MFSR, "mfsr"),
27861 +    NORMAL_MNEMONIC(MIN, MIN, "min"),
27862 +    NORMAL_MNEMONIC(MOV, MOV3, "mov"),
27863 +    NORMAL_MNEMONIC(MOVEQ, MOVEQ1, "moveq"),
27864 +    NORMAL_MNEMONIC(MOVNE, MOVNE1, "movne"),
27865 +    NORMAL_MNEMONIC(MOVCC, MOVCC1, "movcc"),
27866 +    NORMAL_MNEMONIC(MOVCS, MOVCS1, "movcs"),
27867 +    NORMAL_MNEMONIC(MOVGE, MOVGE1, "movge"),
27868 +    NORMAL_MNEMONIC(MOVLT, MOVLT1, "movlt"),
27869 +    NORMAL_MNEMONIC(MOVMI, MOVMI1, "movmi"),
27870 +    NORMAL_MNEMONIC(MOVPL, MOVPL1, "movpl"),
27871 +    NORMAL_MNEMONIC(MOVLS, MOVLS1, "movls"),
27872 +    NORMAL_MNEMONIC(MOVGT, MOVGT1, "movgt"),
27873 +    NORMAL_MNEMONIC(MOVLE, MOVLE1, "movle"),
27874 +    NORMAL_MNEMONIC(MOVHI, MOVHI1, "movhi"),
27875 +    NORMAL_MNEMONIC(MOVVS, MOVVS1, "movvs"),
27876 +    NORMAL_MNEMONIC(MOVVC, MOVVC1, "movvc"),
27877 +    NORMAL_MNEMONIC(MOVQS, MOVQS1, "movqs"),
27878 +    NORMAL_MNEMONIC(MOVAL, MOVAL1, "moval"),
27879 +    NORMAL_MNEMONIC(MOVHS, MOVHS1, "movhs"),
27880 +    NORMAL_MNEMONIC(MOVLO, MOVLO1, "movlo"),
27881 +    NORMAL_MNEMONIC(MTDR, MTDR, "mtdr"),
27882 +    NORMAL_MNEMONIC(MTSR, MTSR, "mtsr"),
27883 +    NORMAL_MNEMONIC(MUL, MUL1, "mul"),
27884 +    NORMAL_MNEMONIC(MULHH_W, MULHH_W, "mulhh.w"),
27885 +    NORMAL_MNEMONIC(MULNHH_W, MULNHH_W, "mulnhh.w"),
27886 +    NORMAL_MNEMONIC(MULNWH_D, MULNWH_D, "mulnwh.d"),
27887 +    NORMAL_MNEMONIC(MULS_D, MULSD, "muls.d"),
27888 +    NORMAL_MNEMONIC(MULSATHH_H, MULSATHH_H, "mulsathh.h"),
27889 +    NORMAL_MNEMONIC(MULSATHH_W, MULSATHH_W, "mulsathh.w"),
27890 +    NORMAL_MNEMONIC(MULSATRNDHH_H, MULSATRNDHH_H, "mulsatrndhh.h"),
27891 +    NORMAL_MNEMONIC(MULSATRNDWH_W, MULSATRNDWH_W, "mulsatrndwh.w"),
27892 +    NORMAL_MNEMONIC(MULSATWH_W, MULSATWH_W, "mulsatwh.w"),
27893 +    NORMAL_MNEMONIC(MULU_D, MULU_D, "mulu.d"),
27894 +    NORMAL_MNEMONIC(MULWH_D, MULWH_D, "mulwh.d"),
27895 +    NORMAL_MNEMONIC(MUSFR, MUSFR, "musfr"),
27896 +    NORMAL_MNEMONIC(MUSTR, MUSTR, "mustr"),
27897 +    NORMAL_MNEMONIC(MVCR_D, MVCR_D, "mvcr.d"),
27898 +    NORMAL_MNEMONIC(MVCR_W, MVCR_W, "mvcr.w"),
27899 +    NORMAL_MNEMONIC(MVRC_D, MVRC_D, "mvrc.d"),
27900 +    NORMAL_MNEMONIC(MVRC_W, MVRC_W, "mvrc.w"),
27901 +    NORMAL_MNEMONIC(NEG, NEG, "neg"),
27902 +    NORMAL_MNEMONIC(NOP, NOP, "nop"),
27903 +    NORMAL_MNEMONIC(OR, OR1, "or"),
27904 +    NORMAL_MNEMONIC(ORH, ORH, "orh"),
27905 +    NORMAL_MNEMONIC(ORL, ORL, "orl"),
27906 +    NORMAL_MNEMONIC(PABS_SB, PABS_SB, "pabs.sb"),
27907 +    NORMAL_MNEMONIC(PABS_SH, PABS_SH, "pabs.sh"),
27908 +    NORMAL_MNEMONIC(PACKSH_SB, PACKSH_SB, "packsh.sb"),
27909 +    NORMAL_MNEMONIC(PACKSH_UB, PACKSH_UB, "packsh.ub"),
27910 +    NORMAL_MNEMONIC(PACKW_SH, PACKW_SH, "packw.sh"),
27911 +    NORMAL_MNEMONIC(PADD_B, PADD_B, "padd.b"),
27912 +    NORMAL_MNEMONIC(PADD_H, PADD_H, "padd.h"),
27913 +    NORMAL_MNEMONIC(PADDH_SH, PADDH_SH, "paddh.sh"),
27914 +    NORMAL_MNEMONIC(PADDH_UB, PADDH_UB, "paddh.ub"),
27915 +    NORMAL_MNEMONIC(PADDS_SB, PADDS_SB, "padds.sb"),
27916 +    NORMAL_MNEMONIC(PADDS_SH, PADDS_SH, "padds.sh"),
27917 +    NORMAL_MNEMONIC(PADDS_UB, PADDS_UB, "padds.ub"),
27918 +    NORMAL_MNEMONIC(PADDS_UH, PADDS_UH, "padds.uh"),
27919 +    NORMAL_MNEMONIC(PADDSUB_H, PADDSUB_H, "paddsub.h"),
27920 +    NORMAL_MNEMONIC(PADDSUBH_SH, PADDSUBH_SH, "paddsubh.sh"),
27921 +    NORMAL_MNEMONIC(PADDSUBS_SH, PADDSUBS_SH, "paddsubs.sh"),
27922 +    NORMAL_MNEMONIC(PADDSUBS_UH, PADDSUBS_UH, "paddsubs.uh"),
27923 +    NORMAL_MNEMONIC(PADDX_H, PADDX_H, "paddx.h"),
27924 +    NORMAL_MNEMONIC(PADDXH_SH, PADDXH_SH, "paddxh.sh"),
27925 +    NORMAL_MNEMONIC(PADDXS_SH, PADDXS_SH, "paddxs.sh"),
27926 +    NORMAL_MNEMONIC(PADDXS_UH, PADDXS_UH, "paddxs.uh"),
27927 +    NORMAL_MNEMONIC(PASR_B, PASR_B, "pasr.b"),
27928 +    NORMAL_MNEMONIC(PASR_H, PASR_H, "pasr.h"),
27929 +    NORMAL_MNEMONIC(PAVG_SH, PAVG_SH, "pavg.sh"),
27930 +    NORMAL_MNEMONIC(PAVG_UB, PAVG_UB, "pavg.ub"),
27931 +    NORMAL_MNEMONIC(PLSL_B, PLSL_B, "plsl.b"),
27932 +    NORMAL_MNEMONIC(PLSL_H, PLSL_H, "plsl.h"),
27933 +    NORMAL_MNEMONIC(PLSR_B, PLSR_B, "plsr.b"),
27934 +    NORMAL_MNEMONIC(PLSR_H, PLSR_H, "plsr.h"),
27935 +    NORMAL_MNEMONIC(PMAX_SH, PMAX_SH, "pmax.sh"),
27936 +    NORMAL_MNEMONIC(PMAX_UB, PMAX_UB, "pmax.ub"),
27937 +    NORMAL_MNEMONIC(PMIN_SH, PMIN_SH, "pmin.sh"),
27938 +    NORMAL_MNEMONIC(PMIN_UB, PMIN_UB, "pmin.ub"),
27939 +    NORMAL_MNEMONIC(POPJC, POPJC, "popjc"),
27940 +    NORMAL_MNEMONIC(POPM, POPM, "popm"),
27941 +    NORMAL_MNEMONIC(PREF, PREF, "pref"),
27942 +    NORMAL_MNEMONIC(PSAD, PSAD, "psad"),
27943 +    NORMAL_MNEMONIC(PSUB_B, PSUB_B, "psub.b"),
27944 +    NORMAL_MNEMONIC(PSUB_H, PSUB_H, "psub.h"),
27945 +    NORMAL_MNEMONIC(PSUBADD_H, PSUBADD_H, "psubadd.h"),
27946 +    NORMAL_MNEMONIC(PSUBADDH_SH, PSUBADDH_SH, "psubaddh.sh"),
27947 +    NORMAL_MNEMONIC(PSUBADDS_SH, PSUBADDS_SH, "psubadds.sh"),
27948 +    NORMAL_MNEMONIC(PSUBADDS_UH, PSUBADDS_UH, "psubadds.uh"),
27949 +    NORMAL_MNEMONIC(PSUBH_SH, PSUBH_SH, "psubh.sh"),
27950 +    NORMAL_MNEMONIC(PSUBH_UB, PSUBH_UB, "psubh.ub"),
27951 +    NORMAL_MNEMONIC(PSUBS_SB, PSUBS_SB, "psubs.sb"),
27952 +    NORMAL_MNEMONIC(PSUBS_SH, PSUBS_SH, "psubs.sh"),
27953 +    NORMAL_MNEMONIC(PSUBS_UB, PSUBS_UB, "psubs.ub"),
27954 +    NORMAL_MNEMONIC(PSUBS_UH, PSUBS_UH, "psubs.uh"),
27955 +    NORMAL_MNEMONIC(PSUBX_H, PSUBX_H, "psubx.h"),
27956 +    NORMAL_MNEMONIC(PSUBXH_SH, PSUBXH_SH, "psubxh.sh"),
27957 +    NORMAL_MNEMONIC(PSUBXS_SH, PSUBXS_SH, "psubxs.sh"),
27958 +    NORMAL_MNEMONIC(PSUBXS_UH, PSUBXS_UH, "psubxs.uh"),
27959 +    NORMAL_MNEMONIC(PUNPCKSB_H, PUNPCKSB_H, "punpcksb.h"),
27960 +    NORMAL_MNEMONIC(PUNPCKUB_H, PUNPCKUB_H, "punpckub.h"),
27961 +    NORMAL_MNEMONIC(PUSHJC, PUSHJC, "pushjc"),
27962 +    NORMAL_MNEMONIC(PUSHM, PUSHM, "pushm"),
27963 +    NORMAL_MNEMONIC(RCALL, RCALL1, "rcall"),
27964 +    NORMAL_MNEMONIC(RETEQ, RETEQ, "reteq"),
27965 +    NORMAL_MNEMONIC(RETNE, RETNE, "retne"),
27966 +    NORMAL_MNEMONIC(RETCC, RETCC, "retcc"),
27967 +    NORMAL_MNEMONIC(RETCS, RETCS, "retcs"),
27968 +    NORMAL_MNEMONIC(RETGE, RETGE, "retge"),
27969 +    NORMAL_MNEMONIC(RETLT, RETLT, "retlt"),
27970 +    NORMAL_MNEMONIC(RETMI, RETMI, "retmi"),
27971 +    NORMAL_MNEMONIC(RETPL, RETPL, "retpl"),
27972 +    NORMAL_MNEMONIC(RETLS, RETLS, "retls"),
27973 +    NORMAL_MNEMONIC(RETGT, RETGT, "retgt"),
27974 +    NORMAL_MNEMONIC(RETLE, RETLE, "retle"),
27975 +    NORMAL_MNEMONIC(RETHI, RETHI, "rethi"),
27976 +    NORMAL_MNEMONIC(RETVS, RETVS, "retvs"),
27977 +    NORMAL_MNEMONIC(RETVC, RETVC, "retvc"),
27978 +    NORMAL_MNEMONIC(RETQS, RETQS, "retqs"),
27979 +    NORMAL_MNEMONIC(RETAL, RETAL, "retal"),
27980 +    NORMAL_MNEMONIC(RETHS, RETHS, "reths"),
27981 +    NORMAL_MNEMONIC(RETLO, RETLO, "retlo"),
27982 +    NORMAL_MNEMONIC(RET, RETAL, "ret"),
27983 +    NORMAL_MNEMONIC(RETD, RETD, "retd"),
27984 +    NORMAL_MNEMONIC(RETE, RETE, "rete"),
27985 +    NORMAL_MNEMONIC(RETJ, RETJ, "retj"),
27986 +    NORMAL_MNEMONIC(RETS, RETS, "rets"),
27987 +    NORMAL_MNEMONIC(RJMP, RJMP, "rjmp"),
27988 +    NORMAL_MNEMONIC(ROL, ROL, "rol"),
27989 +    NORMAL_MNEMONIC(ROR, ROR, "ror"),
27990 +    NORMAL_MNEMONIC(RSUB, RSUB1, "rsub"),
27991 +    NORMAL_MNEMONIC(SATADD_H, SATADD_H, "satadd.h"),
27992 +    NORMAL_MNEMONIC(SATADD_W, SATADD_W, "satadd.w"),
27993 +    NORMAL_MNEMONIC(SATRNDS, SATRNDS, "satrnds"),
27994 +    NORMAL_MNEMONIC(SATRNDU, SATRNDU, "satrndu"),
27995 +    NORMAL_MNEMONIC(SATS, SATS, "sats"),
27996 +    NORMAL_MNEMONIC(SATSUB_H, SATSUB_H, "satsub.h"),
27997 +    NORMAL_MNEMONIC(SATSUB_W, SATSUB_W1, "satsub.w"),
27998 +    NORMAL_MNEMONIC(SATU, SATU, "satu"),
27999 +    NORMAL_MNEMONIC(SBC, SBC, "sbc"),
28000 +    NORMAL_MNEMONIC(SBR, SBR, "sbr"),
28001 +    NORMAL_MNEMONIC(SCALL, SCALL, "scall"),
28002 +    NORMAL_MNEMONIC(SCR, SCR, "scr"),
28003 +    NORMAL_MNEMONIC(SLEEP, SLEEP, "sleep"),
28004 +    NORMAL_MNEMONIC(SREQ, SREQ, "sreq"),
28005 +    NORMAL_MNEMONIC(SRNE, SRNE, "srne"),
28006 +    NORMAL_MNEMONIC(SRCC, SRCC, "srcc"),
28007 +    NORMAL_MNEMONIC(SRCS, SRCS, "srcs"),
28008 +    NORMAL_MNEMONIC(SRGE, SRGE, "srge"),
28009 +    NORMAL_MNEMONIC(SRLT, SRLT, "srlt"),
28010 +    NORMAL_MNEMONIC(SRMI, SRMI, "srmi"),
28011 +    NORMAL_MNEMONIC(SRPL, SRPL, "srpl"),
28012 +    NORMAL_MNEMONIC(SRLS, SRLS, "srls"),
28013 +    NORMAL_MNEMONIC(SRGT, SRGT, "srgt"),
28014 +    NORMAL_MNEMONIC(SRLE, SRLE, "srle"),
28015 +    NORMAL_MNEMONIC(SRHI, SRHI, "srhi"),
28016 +    NORMAL_MNEMONIC(SRVS, SRVS, "srvs"),
28017 +    NORMAL_MNEMONIC(SRVC, SRVC, "srvc"),
28018 +    NORMAL_MNEMONIC(SRQS, SRQS, "srqs"),
28019 +    NORMAL_MNEMONIC(SRAL, SRAL, "sral"),
28020 +    NORMAL_MNEMONIC(SRHS, SRHS, "srhs"),
28021 +    NORMAL_MNEMONIC(SRLO, SRLO, "srlo"),
28022 +    NORMAL_MNEMONIC(SSRF, SSRF, "ssrf"),
28023 +    NORMAL_MNEMONIC(ST_B, ST_B1, "st.b"),
28024 +    NORMAL_MNEMONIC(ST_D, ST_D1, "st.d"),
28025 +    NORMAL_MNEMONIC(ST_H, ST_H1, "st.h"),
28026 +    NORMAL_MNEMONIC(ST_W, ST_W1, "st.w"),
28027 +    NORMAL_MNEMONIC(STC_D, STC_D3, "stc.d"),
28028 +    NORMAL_MNEMONIC(STC_W, STC_W3, "stc.w"),
28029 +    NORMAL_MNEMONIC(STC0_D, STC0_D, "stc0.d"),
28030 +    NORMAL_MNEMONIC(STC0_W, STC0_W, "stc0.w"),
28031 +    NORMAL_MNEMONIC(STCM_D, STCM_D, "stcm.d"),
28032 +    NORMAL_MNEMONIC(STCM_W, STCM_W, "stcm.w"),
28033 +    NORMAL_MNEMONIC(STCOND, STCOND, "stcond"),
28034 +    NORMAL_MNEMONIC(STDSP, STDSP, "stdsp"),
28035 +    NORMAL_MNEMONIC(STHH_W, STHH_W2, "sthh.w"),
28036 +    NORMAL_MNEMONIC(STM, STM, "stm"),
28037 +    NORMAL_MNEMONIC(STMTS, STMTS, "stmts"),
28038 +    NORMAL_MNEMONIC(STSWP_H, STSWP_H, "stswp.h"),
28039 +    NORMAL_MNEMONIC(STSWP_W, STSWP_W, "stswp.w"),
28040 +    NORMAL_MNEMONIC(SUB, SUB1, "sub"),
28041 +    NORMAL_MNEMONIC(SUBEQ, SUBEQ, "subeq"),
28042 +    NORMAL_MNEMONIC(SUBNE, SUBNE, "subne"),
28043 +    NORMAL_MNEMONIC(SUBCC, SUBCC, "subcc"),
28044 +    NORMAL_MNEMONIC(SUBCS, SUBCS, "subcs"),
28045 +    NORMAL_MNEMONIC(SUBGE, SUBGE, "subge"),
28046 +    NORMAL_MNEMONIC(SUBLT, SUBLT, "sublt"),
28047 +    NORMAL_MNEMONIC(SUBMI, SUBMI, "submi"),
28048 +    NORMAL_MNEMONIC(SUBPL, SUBPL, "subpl"),
28049 +    NORMAL_MNEMONIC(SUBLS, SUBLS, "subls"),
28050 +    NORMAL_MNEMONIC(SUBGT, SUBGT, "subgt"),
28051 +    NORMAL_MNEMONIC(SUBLE, SUBLE, "suble"),
28052 +    NORMAL_MNEMONIC(SUBHI, SUBHI, "subhi"),
28053 +    NORMAL_MNEMONIC(SUBVS, SUBVS, "subvs"),
28054 +    NORMAL_MNEMONIC(SUBVC, SUBVC, "subvc"),
28055 +    NORMAL_MNEMONIC(SUBQS, SUBQS, "subqs"),
28056 +    NORMAL_MNEMONIC(SUBAL, SUBAL, "subal"),
28057 +    NORMAL_MNEMONIC(SUBHS, SUBHS, "subhs"),
28058 +    NORMAL_MNEMONIC(SUBLO, SUBLO, "sublo"),
28059 +    NORMAL_MNEMONIC(SUBFEQ, SUBFEQ, "subfeq"),
28060 +    NORMAL_MNEMONIC(SUBFNE, SUBFNE, "subfne"),
28061 +    NORMAL_MNEMONIC(SUBFCC, SUBFCC, "subfcc"),
28062 +    NORMAL_MNEMONIC(SUBFCS, SUBFCS, "subfcs"),
28063 +    NORMAL_MNEMONIC(SUBFGE, SUBFGE, "subfge"),
28064 +    NORMAL_MNEMONIC(SUBFLT, SUBFLT, "subflt"),
28065 +    NORMAL_MNEMONIC(SUBFMI, SUBFMI, "subfmi"),
28066 +    NORMAL_MNEMONIC(SUBFPL, SUBFPL, "subfpl"),
28067 +    NORMAL_MNEMONIC(SUBFLS, SUBFLS, "subfls"),
28068 +    NORMAL_MNEMONIC(SUBFGT, SUBFGT, "subfgt"),
28069 +    NORMAL_MNEMONIC(SUBFLE, SUBFLE, "subfle"),
28070 +    NORMAL_MNEMONIC(SUBFHI, SUBFHI, "subfhi"),
28071 +    NORMAL_MNEMONIC(SUBFVS, SUBFVS, "subfvs"),
28072 +    NORMAL_MNEMONIC(SUBFVC, SUBFVC, "subfvc"),
28073 +    NORMAL_MNEMONIC(SUBFQS, SUBFQS, "subfqs"),
28074 +    NORMAL_MNEMONIC(SUBFAL, SUBFAL, "subfal"),
28075 +    NORMAL_MNEMONIC(SUBFHS, SUBFHS, "subfhs"),
28076 +    NORMAL_MNEMONIC(SUBFLO, SUBFLO, "subflo"),
28077 +    NORMAL_MNEMONIC(SUBHH_W, SUBHH_W, "subhh.w"),
28078 +    NORMAL_MNEMONIC(SWAP_B, SWAP_B, "swap.b"),
28079 +    NORMAL_MNEMONIC(SWAP_BH, SWAP_BH, "swap.bh"),
28080 +    NORMAL_MNEMONIC(SWAP_H, SWAP_H, "swap.h"),
28081 +    NORMAL_MNEMONIC(SYNC, SYNC, "sync"),
28082 +    NORMAL_MNEMONIC(TLBR, TLBR, "tlbr"),
28083 +    NORMAL_MNEMONIC(TLBS, TLBS, "tlbs"),
28084 +    NORMAL_MNEMONIC(TLBW, TLBW, "tlbw"),
28085 +    NORMAL_MNEMONIC(TNBZ, TNBZ, "tnbz"),
28086 +    NORMAL_MNEMONIC(TST, TST, "tst"),
28087 +    NORMAL_MNEMONIC(XCHG, XCHG, "xchg"),
28088 +    NORMAL_MNEMONIC(MEMC, MEMC, "memc"),
28089 +    NORMAL_MNEMONIC(MEMS, MEMS, "mems"),
28090 +    NORMAL_MNEMONIC(MEMT, MEMT, "memt"),
28091 +  NORMAL_MNEMONIC (FMAC_S,     FMAC_S,     "fmac.s"),
28092 +  NORMAL_MNEMONIC (FNMAC_S,    FNMAC_S,    "fnmac.s"),
28093 +  NORMAL_MNEMONIC (FMSC_S,     FMSC_S,     "fmsc.s"), 
28094 +  NORMAL_MNEMONIC (FNMSC_S,    FNMSC_S,    "fnmsc.s"), 
28095 +  NORMAL_MNEMONIC (FMUL_S,     FMUL_S,     "fmul.s"),
28096 +  NORMAL_MNEMONIC (FNMUL_S,    FNMUL_S,    "fnmul.s"),
28097 +  NORMAL_MNEMONIC (FADD_S,     FADD_S,     "fadd.s"),
28098 +  NORMAL_MNEMONIC (FSUB_S,     FSUB_S,     "fsub.s"),
28099 +  NORMAL_MNEMONIC (FCASTRS_SW, FCASTRS_SW, "fcastrs.sw"),
28100 +  NORMAL_MNEMONIC (FCASTRS_UW, FCASTRS_UW, "fcastrs.uw"),
28101 +  NORMAL_MNEMONIC (FCASTSW_S,  FCASTSW_S,  "fcastsw.s"),
28102 +  NORMAL_MNEMONIC (FCASTUW_S,  FCASTUW_S,  "fcastuw.s"),
28103 +  NORMAL_MNEMONIC (FCMP_S,     FCMP_S,     "fcmp.s"),
28104 +  NORMAL_MNEMONIC (FCHK_S,     FCHK_S,     "fchk.s"),
28105 +  NORMAL_MNEMONIC (FRCPA_S,    FRCPA_S,    "frcpa.s"),
28106 +  NORMAL_MNEMONIC (FRSQRTA_S,  FRSQRTA_S,  "frsqrta.s"),
28107 +    NORMAL_MNEMONIC(LDA_W, LDA_W, "lda.w"),
28108 +    NORMAL_MNEMONIC(CALL, CALL, "call"),
28109 +    NORMAL_MNEMONIC(PICOSVMAC, PICOSVMAC0, "picosvmac"),
28110 +    NORMAL_MNEMONIC(PICOSVMUL, PICOSVMUL0, "picosvmul"),
28111 +    NORMAL_MNEMONIC(PICOVMAC, PICOVMAC0, "picovmac"),
28112 +    NORMAL_MNEMONIC(PICOVMUL, PICOVMUL0, "picovmul"),
28113 +    NORMAL_MNEMONIC(PICOLD_D, PICOLD_D2, "picold.d"),
28114 +    NORMAL_MNEMONIC(PICOLD_W, PICOLD_W2, "picold.w"),
28115 +    NORMAL_MNEMONIC(PICOLDM_D, PICOLDM_D, "picoldm.d"),
28116 +    NORMAL_MNEMONIC(PICOLDM_W, PICOLDM_W, "picoldm.w"),
28117 +    NORMAL_MNEMONIC(PICOMV_D, PICOMV_D1, "picomv.d"),
28118 +    NORMAL_MNEMONIC(PICOMV_W, PICOMV_W1, "picomv.w"),
28119 +    NORMAL_MNEMONIC(PICOST_D, PICOST_D2, "picost.d"),
28120 +    NORMAL_MNEMONIC(PICOST_W, PICOST_W2, "picost.w"),
28121 +    NORMAL_MNEMONIC(PICOSTM_D, PICOSTM_D, "picostm.d"),
28122 +    NORMAL_MNEMONIC(PICOSTM_W, PICOSTM_W, "picostm.w"),
28123 +    NORMAL_MNEMONIC(RSUBEQ, RSUBEQ, "rsubeq"),
28124 +    NORMAL_MNEMONIC(RSUBNE, RSUBNE, "rsubne"),
28125 +    NORMAL_MNEMONIC(RSUBCC, RSUBCC, "rsubcc"),
28126 +    NORMAL_MNEMONIC(RSUBCS, RSUBCS, "rsubcs"),
28127 +    NORMAL_MNEMONIC(RSUBGE, RSUBGE, "rsubge"),
28128 +    NORMAL_MNEMONIC(RSUBLT, RSUBLT, "rsublt"),
28129 +    NORMAL_MNEMONIC(RSUBMI, RSUBMI, "rsubmi"),
28130 +    NORMAL_MNEMONIC(RSUBPL, RSUBPL, "rsubpl"),
28131 +    NORMAL_MNEMONIC(RSUBLS, RSUBLS, "rsubls"),
28132 +    NORMAL_MNEMONIC(RSUBGT, RSUBGT, "rsubgt"),
28133 +    NORMAL_MNEMONIC(RSUBLE, RSUBLE, "rsuble"),
28134 +    NORMAL_MNEMONIC(RSUBHI, RSUBHI, "rsubhi"),
28135 +    NORMAL_MNEMONIC(RSUBVS, RSUBVS, "rsubvs"),
28136 +    NORMAL_MNEMONIC(RSUBVC, RSUBVC, "rsubvc"),
28137 +    NORMAL_MNEMONIC(RSUBQS, RSUBQS, "rsubqs"),
28138 +    NORMAL_MNEMONIC(RSUBAL, RSUBAL, "rsubal"),
28139 +    NORMAL_MNEMONIC(RSUBHS, RSUBHS, "rsubhs"),
28140 +    NORMAL_MNEMONIC(RSUBLO, RSUBLO, "rsublo"),
28141 +    NORMAL_MNEMONIC(ADDEQ, ADDEQ, "addeq"),
28142 +    NORMAL_MNEMONIC(ADDNE, ADDNE, "addne"),
28143 +    NORMAL_MNEMONIC(ADDCC, ADDCC, "addcc"),
28144 +    NORMAL_MNEMONIC(ADDCS, ADDCS, "addcs"),
28145 +    NORMAL_MNEMONIC(ADDGE, ADDGE, "addge"),
28146 +    NORMAL_MNEMONIC(ADDLT, ADDLT, "addlt"),
28147 +    NORMAL_MNEMONIC(ADDMI, ADDMI, "addmi"),
28148 +    NORMAL_MNEMONIC(ADDPL, ADDPL, "addpl"),
28149 +    NORMAL_MNEMONIC(ADDLS, ADDLS, "addls"),
28150 +    NORMAL_MNEMONIC(ADDGT, ADDGT, "addgt"),
28151 +    NORMAL_MNEMONIC(ADDLE, ADDLE, "addle"),
28152 +    NORMAL_MNEMONIC(ADDHI, ADDHI, "addhi"),
28153 +    NORMAL_MNEMONIC(ADDVS, ADDVS, "addvs"),
28154 +    NORMAL_MNEMONIC(ADDVC, ADDVC, "addvc"),
28155 +    NORMAL_MNEMONIC(ADDQS, ADDQS, "addqs"),
28156 +    NORMAL_MNEMONIC(ADDAL, ADDAL, "addal"),
28157 +    NORMAL_MNEMONIC(ADDHS, ADDHS, "addhs"),
28158 +    NORMAL_MNEMONIC(ADDLO, ADDLO, "addlo"),
28159 +    NORMAL_MNEMONIC(ANDEQ, ANDEQ, "andeq"),
28160 +    NORMAL_MNEMONIC(ANDNE, ANDNE, "andne"),
28161 +    NORMAL_MNEMONIC(ANDCC, ANDCC, "andcc"),
28162 +    NORMAL_MNEMONIC(ANDCS, ANDCS, "andcs"),
28163 +    NORMAL_MNEMONIC(ANDGE, ANDGE, "andge"),
28164 +    NORMAL_MNEMONIC(ANDLT, ANDLT, "andlt"),
28165 +    NORMAL_MNEMONIC(ANDMI, ANDMI, "andmi"),
28166 +    NORMAL_MNEMONIC(ANDPL, ANDPL, "andpl"),
28167 +    NORMAL_MNEMONIC(ANDLS, ANDLS, "andls"),
28168 +    NORMAL_MNEMONIC(ANDGT, ANDGT, "andgt"),
28169 +    NORMAL_MNEMONIC(ANDLE, ANDLE, "andle"),
28170 +    NORMAL_MNEMONIC(ANDHI, ANDHI, "andhi"),
28171 +    NORMAL_MNEMONIC(ANDVS, ANDVS, "andvs"),
28172 +    NORMAL_MNEMONIC(ANDVC, ANDVC, "andvc"),
28173 +    NORMAL_MNEMONIC(ANDQS, ANDQS, "andqs"),
28174 +    NORMAL_MNEMONIC(ANDAL, ANDAL, "andal"),
28175 +    NORMAL_MNEMONIC(ANDHS, ANDHS, "andhs"),
28176 +    NORMAL_MNEMONIC(ANDLO, ANDLO, "andlo"),
28177 +    NORMAL_MNEMONIC(OREQ, OREQ, "oreq"),
28178 +    NORMAL_MNEMONIC(ORNE, ORNE, "orne"),
28179 +    NORMAL_MNEMONIC(ORCC, ORCC, "orcc"),
28180 +    NORMAL_MNEMONIC(ORCS, ORCS, "orcs"),
28181 +    NORMAL_MNEMONIC(ORGE, ORGE, "orge"),
28182 +    NORMAL_MNEMONIC(ORLT, ORLT, "orlt"),
28183 +    NORMAL_MNEMONIC(ORMI, ORMI, "ormi"),
28184 +    NORMAL_MNEMONIC(ORPL, ORPL, "orpl"),
28185 +    NORMAL_MNEMONIC(ORLS, ORLS, "orls"),
28186 +    NORMAL_MNEMONIC(ORGT, ORGT, "orgt"),
28187 +    NORMAL_MNEMONIC(ORLE, ORLE, "orle"),
28188 +    NORMAL_MNEMONIC(ORHI, ORHI, "orhi"),
28189 +    NORMAL_MNEMONIC(ORVS, ORVS, "orvs"),
28190 +    NORMAL_MNEMONIC(ORVC, ORVC, "orvc"),
28191 +    NORMAL_MNEMONIC(ORQS, ORQS, "orqs"),
28192 +    NORMAL_MNEMONIC(ORAL, ORAL, "oral"),
28193 +    NORMAL_MNEMONIC(ORHS, ORHS, "orhs"),
28194 +    NORMAL_MNEMONIC(ORLO, ORLO, "orlo"),
28195 +    NORMAL_MNEMONIC(EOREQ, EOREQ, "eoreq"),
28196 +    NORMAL_MNEMONIC(EORNE, EORNE, "eorne"),
28197 +    NORMAL_MNEMONIC(EORCC, EORCC, "eorcc"),
28198 +    NORMAL_MNEMONIC(EORCS, EORCS, "eorcs"),
28199 +    NORMAL_MNEMONIC(EORGE, EORGE, "eorge"),
28200 +    NORMAL_MNEMONIC(EORLT, EORLT, "eorlt"),
28201 +    NORMAL_MNEMONIC(EORMI, EORMI, "eormi"),
28202 +    NORMAL_MNEMONIC(EORPL, EORPL, "eorpl"),
28203 +    NORMAL_MNEMONIC(EORLS, EORLS, "eorls"),
28204 +    NORMAL_MNEMONIC(EORGT, EORGT, "eorgt"),
28205 +    NORMAL_MNEMONIC(EORLE, EORLE, "eorle"),
28206 +    NORMAL_MNEMONIC(EORHI, EORHI, "eorhi"),
28207 +    NORMAL_MNEMONIC(EORVS, EORVS, "eorvs"),
28208 +    NORMAL_MNEMONIC(EORVC, EORVC, "eorvc"),
28209 +    NORMAL_MNEMONIC(EORQS, EORQS, "eorqs"),
28210 +    NORMAL_MNEMONIC(EORAL, EORAL, "eoral"),
28211 +    NORMAL_MNEMONIC(EORHS, EORHS, "eorhs"),
28212 +    NORMAL_MNEMONIC(EORLO, EORLO, "eorlo"),
28213 +    NORMAL_MNEMONIC(LD_WEQ, LD_WEQ, "ld.weq"),
28214 +    NORMAL_MNEMONIC(LD_WNE, LD_WNE, "ld.wne"),
28215 +    NORMAL_MNEMONIC(LD_WCC, LD_WCC, "ld.wcc"),
28216 +    NORMAL_MNEMONIC(LD_WCS, LD_WCS, "ld.wcs"),
28217 +    NORMAL_MNEMONIC(LD_WGE, LD_WGE, "ld.wge"),
28218 +    NORMAL_MNEMONIC(LD_WLT, LD_WLT, "ld.wlt"),
28219 +    NORMAL_MNEMONIC(LD_WMI, LD_WMI, "ld.wmi"),
28220 +    NORMAL_MNEMONIC(LD_WPL, LD_WPL, "ld.wpl"),
28221 +    NORMAL_MNEMONIC(LD_WLS, LD_WLS, "ld.wls"),
28222 +    NORMAL_MNEMONIC(LD_WGT, LD_WGT, "ld.wgt"),
28223 +    NORMAL_MNEMONIC(LD_WLE, LD_WLE, "ld.wle"),
28224 +    NORMAL_MNEMONIC(LD_WHI, LD_WHI, "ld.whi"),
28225 +    NORMAL_MNEMONIC(LD_WVS, LD_WVS, "ld.wvs"),
28226 +    NORMAL_MNEMONIC(LD_WVC, LD_WVC, "ld.wvc"),
28227 +    NORMAL_MNEMONIC(LD_WQS, LD_WQS, "ld.wqs"),
28228 +    NORMAL_MNEMONIC(LD_WAL, LD_WAL, "ld.wal"),
28229 +    NORMAL_MNEMONIC(LD_WHS, LD_WHS, "ld.whs"),
28230 +    NORMAL_MNEMONIC(LD_WLO, LD_WLO, "ld.wlo"),
28231 +    NORMAL_MNEMONIC(LD_SHEQ, LD_SHEQ, "ld.sheq"),
28232 +    NORMAL_MNEMONIC(LD_SHNE, LD_SHNE, "ld.shne"),
28233 +    NORMAL_MNEMONIC(LD_SHCC, LD_SHCC, "ld.shcc"),
28234 +    NORMAL_MNEMONIC(LD_SHCS, LD_SHCS, "ld.shcs"),
28235 +    NORMAL_MNEMONIC(LD_SHGE, LD_SHGE, "ld.shge"),
28236 +    NORMAL_MNEMONIC(LD_SHLT, LD_SHLT, "ld.shlt"),
28237 +    NORMAL_MNEMONIC(LD_SHMI, LD_SHMI, "ld.shmi"),
28238 +    NORMAL_MNEMONIC(LD_SHPL, LD_SHPL, "ld.shpl"),
28239 +    NORMAL_MNEMONIC(LD_SHLS, LD_SHLS, "ld.shls"),
28240 +    NORMAL_MNEMONIC(LD_SHGT, LD_SHGT, "ld.shgt"),
28241 +    NORMAL_MNEMONIC(LD_SHLE, LD_SHLE, "ld.shle"),
28242 +    NORMAL_MNEMONIC(LD_SHHI, LD_SHHI, "ld.shhi"),
28243 +    NORMAL_MNEMONIC(LD_SHVS, LD_SHVS, "ld.shvs"),
28244 +    NORMAL_MNEMONIC(LD_SHVC, LD_SHVC, "ld.shvc"),
28245 +    NORMAL_MNEMONIC(LD_SHQS, LD_SHQS, "ld.shqs"),
28246 +    NORMAL_MNEMONIC(LD_SHAL, LD_SHAL, "ld.shal"),
28247 +    NORMAL_MNEMONIC(LD_SHHS, LD_SHHS, "ld.shhs"),
28248 +    NORMAL_MNEMONIC(LD_SHLO, LD_SHLO, "ld.shlo"),
28249 +    NORMAL_MNEMONIC(LD_UHEQ, LD_UHEQ, "ld.uheq"),
28250 +    NORMAL_MNEMONIC(LD_UHNE, LD_UHNE, "ld.uhne"),
28251 +    NORMAL_MNEMONIC(LD_UHCC, LD_UHCC, "ld.uhcc"),
28252 +    NORMAL_MNEMONIC(LD_UHCS, LD_UHCS, "ld.uhcs"),
28253 +    NORMAL_MNEMONIC(LD_UHGE, LD_UHGE, "ld.uhge"),
28254 +    NORMAL_MNEMONIC(LD_UHLT, LD_UHLT, "ld.uhlt"),
28255 +    NORMAL_MNEMONIC(LD_UHMI, LD_UHMI, "ld.uhmi"),
28256 +    NORMAL_MNEMONIC(LD_UHPL, LD_UHPL, "ld.uhpl"),
28257 +    NORMAL_MNEMONIC(LD_UHLS, LD_UHLS, "ld.uhls"),
28258 +    NORMAL_MNEMONIC(LD_UHGT, LD_UHGT, "ld.uhgt"),
28259 +    NORMAL_MNEMONIC(LD_UHLE, LD_UHLE, "ld.uhle"),
28260 +    NORMAL_MNEMONIC(LD_UHHI, LD_UHHI, "ld.uhhi"),
28261 +    NORMAL_MNEMONIC(LD_UHVS, LD_UHVS, "ld.uhvs"),
28262 +    NORMAL_MNEMONIC(LD_UHVC, LD_UHVC, "ld.uhvc"),
28263 +    NORMAL_MNEMONIC(LD_UHQS, LD_UHQS, "ld.uhqs"),
28264 +    NORMAL_MNEMONIC(LD_UHAL, LD_UHAL, "ld.uhal"),
28265 +    NORMAL_MNEMONIC(LD_UHHS, LD_UHHS, "ld.uhhs"),
28266 +    NORMAL_MNEMONIC(LD_UHLO, LD_UHLO, "ld.uhlo"),
28267 +    NORMAL_MNEMONIC(LD_SBEQ, LD_SBEQ, "ld.sbeq"),
28268 +    NORMAL_MNEMONIC(LD_SBNE, LD_SBNE, "ld.sbne"),
28269 +    NORMAL_MNEMONIC(LD_SBCC, LD_SBCC, "ld.sbcc"),
28270 +    NORMAL_MNEMONIC(LD_SBCS, LD_SBCS, "ld.sbcs"),
28271 +    NORMAL_MNEMONIC(LD_SBGE, LD_SBGE, "ld.sbge"),
28272 +    NORMAL_MNEMONIC(LD_SBLT, LD_SBLT, "ld.sblt"),
28273 +    NORMAL_MNEMONIC(LD_SBMI, LD_SBMI, "ld.sbmi"),
28274 +    NORMAL_MNEMONIC(LD_SBPL, LD_SBPL, "ld.sbpl"),
28275 +    NORMAL_MNEMONIC(LD_SBLS, LD_SBLS, "ld.sbls"),
28276 +    NORMAL_MNEMONIC(LD_SBGT, LD_SBGT, "ld.sbgt"),
28277 +    NORMAL_MNEMONIC(LD_SBLE, LD_SBLE, "ld.sble"),
28278 +    NORMAL_MNEMONIC(LD_SBHI, LD_SBHI, "ld.sbhi"),
28279 +    NORMAL_MNEMONIC(LD_SBVS, LD_SBVS, "ld.sbvs"),
28280 +    NORMAL_MNEMONIC(LD_SBVC, LD_SBVC, "ld.sbvc"),
28281 +    NORMAL_MNEMONIC(LD_SBQS, LD_SBQS, "ld.sbqs"),
28282 +    NORMAL_MNEMONIC(LD_SBAL, LD_SBAL, "ld.sbal"),
28283 +    NORMAL_MNEMONIC(LD_SBHS, LD_SBHS, "ld.sbhs"),
28284 +    NORMAL_MNEMONIC(LD_SBLO, LD_SBLO, "ld.sblo"),
28285 +    NORMAL_MNEMONIC(LD_UBEQ, LD_UBEQ, "ld.ubeq"),
28286 +    NORMAL_MNEMONIC(LD_UBNE, LD_UBNE, "ld.ubne"),
28287 +    NORMAL_MNEMONIC(LD_UBCC, LD_UBCC, "ld.ubcc"),
28288 +    NORMAL_MNEMONIC(LD_UBCS, LD_UBCS, "ld.ubcs"),
28289 +    NORMAL_MNEMONIC(LD_UBGE, LD_UBGE, "ld.ubge"),
28290 +    NORMAL_MNEMONIC(LD_UBLT, LD_UBLT, "ld.ublt"),
28291 +    NORMAL_MNEMONIC(LD_UBMI, LD_UBMI, "ld.ubmi"),
28292 +    NORMAL_MNEMONIC(LD_UBPL, LD_UBPL, "ld.ubpl"),
28293 +    NORMAL_MNEMONIC(LD_UBLS, LD_UBLS, "ld.ubls"),
28294 +    NORMAL_MNEMONIC(LD_UBGT, LD_UBGT, "ld.ubgt"),
28295 +    NORMAL_MNEMONIC(LD_UBLE, LD_UBLE, "ld.uble"),
28296 +    NORMAL_MNEMONIC(LD_UBHI, LD_UBHI, "ld.ubhi"),
28297 +    NORMAL_MNEMONIC(LD_UBVS, LD_UBVS, "ld.ubvs"),
28298 +    NORMAL_MNEMONIC(LD_UBVC, LD_UBVC, "ld.ubvc"),
28299 +    NORMAL_MNEMONIC(LD_UBQS, LD_UBQS, "ld.ubqs"),
28300 +    NORMAL_MNEMONIC(LD_UBAL, LD_UBAL, "ld.ubal"),
28301 +    NORMAL_MNEMONIC(LD_UBHS, LD_UBHS, "ld.ubhs"),
28302 +    NORMAL_MNEMONIC(LD_UBLO, LD_UBLO, "ld.ublo"),
28303 +    NORMAL_MNEMONIC(ST_WEQ, ST_WEQ, "st.weq"),
28304 +    NORMAL_MNEMONIC(ST_WNE, ST_WNE, "st.wne"),
28305 +    NORMAL_MNEMONIC(ST_WCC, ST_WCC, "st.wcc"),
28306 +    NORMAL_MNEMONIC(ST_WCS, ST_WCS, "st.wcs"),
28307 +    NORMAL_MNEMONIC(ST_WGE, ST_WGE, "st.wge"),
28308 +    NORMAL_MNEMONIC(ST_WLT, ST_WLT, "st.wlt"),
28309 +    NORMAL_MNEMONIC(ST_WMI, ST_WMI, "st.wmi"),
28310 +    NORMAL_MNEMONIC(ST_WPL, ST_WPL, "st.wpl"),
28311 +    NORMAL_MNEMONIC(ST_WLS, ST_WLS, "st.wls"),
28312 +    NORMAL_MNEMONIC(ST_WGT, ST_WGT, "st.wgt"),
28313 +    NORMAL_MNEMONIC(ST_WLE, ST_WLE, "st.wle"),
28314 +    NORMAL_MNEMONIC(ST_WHI, ST_WHI, "st.whi"),
28315 +    NORMAL_MNEMONIC(ST_WVS, ST_WVS, "st.wvs"),
28316 +    NORMAL_MNEMONIC(ST_WVC, ST_WVC, "st.wvc"),
28317 +    NORMAL_MNEMONIC(ST_WQS, ST_WQS, "st.wqs"),
28318 +    NORMAL_MNEMONIC(ST_WAL, ST_WAL, "st.wal"),
28319 +    NORMAL_MNEMONIC(ST_WHS, ST_WHS, "st.whs"),
28320 +    NORMAL_MNEMONIC(ST_WLO, ST_WLO, "st.wlo"),
28321 +    NORMAL_MNEMONIC(ST_HEQ, ST_HEQ, "st.heq"),
28322 +    NORMAL_MNEMONIC(ST_HNE, ST_HNE, "st.hne"),
28323 +    NORMAL_MNEMONIC(ST_HCC, ST_HCC, "st.hcc"),
28324 +    NORMAL_MNEMONIC(ST_HCS, ST_HCS, "st.hcs"),
28325 +    NORMAL_MNEMONIC(ST_HGE, ST_HGE, "st.hge"),
28326 +    NORMAL_MNEMONIC(ST_HLT, ST_HLT, "st.hlt"),
28327 +    NORMAL_MNEMONIC(ST_HMI, ST_HMI, "st.hmi"),
28328 +    NORMAL_MNEMONIC(ST_HPL, ST_HPL, "st.hpl"),
28329 +    NORMAL_MNEMONIC(ST_HLS, ST_HLS, "st.hls"),
28330 +    NORMAL_MNEMONIC(ST_HGT, ST_HGT, "st.hgt"),
28331 +    NORMAL_MNEMONIC(ST_HLE, ST_HLE, "st.hle"),
28332 +    NORMAL_MNEMONIC(ST_HHI, ST_HHI, "st.hhi"),
28333 +    NORMAL_MNEMONIC(ST_HVS, ST_HVS, "st.hvs"),
28334 +    NORMAL_MNEMONIC(ST_HVC, ST_HVC, "st.hvc"),
28335 +    NORMAL_MNEMONIC(ST_HQS, ST_HQS, "st.hqs"),
28336 +    NORMAL_MNEMONIC(ST_HAL, ST_HAL, "st.hal"),
28337 +    NORMAL_MNEMONIC(ST_HHS, ST_HHS, "st.hhs"),
28338 +    NORMAL_MNEMONIC(ST_HLO, ST_HLO, "st.hlo"),
28339 +    NORMAL_MNEMONIC(ST_BEQ, ST_BEQ, "st.beq"),
28340 +    NORMAL_MNEMONIC(ST_BNE, ST_BNE, "st.bne"),
28341 +    NORMAL_MNEMONIC(ST_BCC, ST_BCC, "st.bcc"),
28342 +    NORMAL_MNEMONIC(ST_BCS, ST_BCS, "st.bcs"),
28343 +    NORMAL_MNEMONIC(ST_BGE, ST_BGE, "st.bge"),
28344 +    NORMAL_MNEMONIC(ST_BLT, ST_BLT, "st.blt"),
28345 +    NORMAL_MNEMONIC(ST_BMI, ST_BMI, "st.bmi"),
28346 +    NORMAL_MNEMONIC(ST_BPL, ST_BPL, "st.bpl"),
28347 +    NORMAL_MNEMONIC(ST_BLS, ST_BLS, "st.bls"),
28348 +    NORMAL_MNEMONIC(ST_BGT, ST_BGT, "st.bgt"),
28349 +    NORMAL_MNEMONIC(ST_BLE, ST_BLE, "st.ble"),
28350 +    NORMAL_MNEMONIC(ST_BHI, ST_BHI, "st.bhi"),
28351 +    NORMAL_MNEMONIC(ST_BVS, ST_BVS, "st.bvs"),
28352 +    NORMAL_MNEMONIC(ST_BVC, ST_BVC, "st.bvc"),
28353 +    NORMAL_MNEMONIC(ST_BQS, ST_BQS, "st.bqs"),
28354 +    NORMAL_MNEMONIC(ST_BAL, ST_BAL, "st.bal"),
28355 +    NORMAL_MNEMONIC(ST_BHS, ST_BHS, "st.bhs"),
28356 +    NORMAL_MNEMONIC(ST_BLO, ST_BLO, "st.blo"),
28357 +    NORMAL_MNEMONIC(MOVH, MOVH, "movh"),
28358 +
28359 +  };
28360 +#undef NORMAL_MNEMONIC
28361 +#undef ALIAS_MNEMONIC
28362 +#undef FP_MNEMONIC
28363 --- /dev/null
28364 +++ b/opcodes/avr32-opc.h
28365 @@ -0,0 +1,2341 @@
28366 +/* Opcode tables for AVR32.
28367 +   Copyright 2005,2006,2007,2008,2009 Atmel Corporation.
28368 +
28369 +   Written by Haavard Skinnemoen, Atmel Norway, <hskinnemoen@atmel.com>
28370 +
28371 +   This file is part of libopcodes.
28372 +
28373 +   This program is free software; you can redistribute it and/or
28374 +   modify it under the terms of the GNU General Public License as
28375 +   published by the Free Software Foundation; either version 2 of the
28376 +   License, or (at your option) any later version.
28377 +
28378 +   This program is distributed in the hope that it will be useful, but
28379 +   WITHOUT ANY WARRANTY; without even the implied warranty of
28380 +   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
28381 +   General Public License for more details.
28382 +
28383 +   You should have received a copy of the GNU General Public License
28384 +   along with this program; if not, write to the Free Software
28385 +   Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA
28386 +   02111-1307, USA.  */
28387 +
28388 +#include "bfd.h"
28389 +
28390 +#define AVR32_MAX_OPERANDS     8
28391 +#define AVR32_MAX_FIELDS       8
28392 +
28393 +#define AVR32_V1               (1 << 1)
28394 +#define AVR32_SIMD             (1 << 2)
28395 +#define AVR32_DSP              (1 << 3)
28396 +#define AVR32_RMW              (1 << 4)
28397 +#define AVR32_V2               (1 << 5)
28398 +#define AVR32_V3               (1 << 6)
28399 +#define AVR32_V3FP             (1 << 7)
28400 +#define AVR32_PICO             (1 << 17)
28401 +
28402 +/* Registers we commonly refer to */
28403 +#define AVR32_REG_R12          12
28404 +#define AVR32_REG_SP           13
28405 +#define AVR32_REG_LR           14
28406 +#define AVR32_REG_PC           15
28407 +
28408 +struct avr32_ifield
28409 +{
28410 +  int id;
28411 +  unsigned short bitsize;
28412 +  unsigned short shift;
28413 +  unsigned long mask;
28414 +
28415 +  /* If the value doesn't fit, it will be truncated with no warning */
28416 +  void (*insert)(const struct avr32_ifield *, void *, unsigned long);
28417 +  void (*extract)(const struct avr32_ifield *, void *, unsigned long *);
28418 +};
28419 +
28420 +struct avr32_opcode
28421 +{
28422 +  int id;
28423 +  int size;
28424 +  unsigned long value;
28425 +  unsigned long mask;
28426 +  const struct avr32_syntax *syntax;
28427 +  bfd_reloc_code_real_type reloc_type;
28428 +  unsigned int nr_fields;
28429 +  /* if relaxable, which field is variable, otherwise -1 */
28430 +  int var_field;
28431 +  const struct avr32_ifield *fields[AVR32_MAX_FIELDS];
28432 +};
28433 +
28434 +struct avr32_alias
28435 +{
28436 +  int id;
28437 +  const struct avr32_opcode *opc;
28438 +  struct {
28439 +    int is_opindex;
28440 +    unsigned long value;
28441 +  } operand_map[AVR32_MAX_OPERANDS];
28442 +};
28443 +
28444 +struct avr32_syntax
28445 +{
28446 +  int id;
28447 +  unsigned long isa_flags;
28448 +  const struct avr32_mnemonic *mnemonic;
28449 +  int type;
28450 +  union {
28451 +    const struct avr32_opcode *opc;
28452 +    const struct avr32_alias *alias;
28453 +  } u;
28454 +  const struct avr32_syntax *next;
28455 +  /* negative means "vararg" */
28456 +  int nr_operands;
28457 +  int operand[AVR32_MAX_OPERANDS];
28458 +};
28459 +
28460 +#if 0
28461 +#define AVR32_ALIAS_MAKE_CONST(val) ((val) | 0x80000000UL)
28462 +#define AVR32_ALIAS_IS_CONST(mapval) (((mapval) & 0x80000000UL) != 0)
28463 +#define AVR32_ALIAS_GET_CONST(mapval) ((mapval) & ~0x80000000UL)
28464 +#endif
28465 +
28466 +struct avr32_mnemonic
28467 +{
28468 +  int id;
28469 +  const char *name;
28470 +  const struct avr32_syntax *syntax;
28471 +};
28472 +
28473 +extern const struct avr32_ifield avr32_ifield_table[];
28474 +extern struct avr32_opcode avr32_opc_table[];
28475 +extern const struct avr32_syntax avr32_syntax_table[];
28476 +extern const struct avr32_alias avr32_alias_table[];
28477 +extern const struct avr32_mnemonic avr32_mnemonic_table[];
28478 +
28479 +extern void avr32_insert_simple(const struct avr32_ifield *field,
28480 +                               void *buf, unsigned long value);
28481 +extern void avr32_insert_bit5c(const struct avr32_ifield *field,
28482 +                              void *buf, unsigned long value);
28483 +extern void avr32_insert_k10(const struct avr32_ifield *field,
28484 +                            void *buf, unsigned long value);
28485 +extern void avr32_insert_k21(const struct avr32_ifield *field,
28486 +                            void *buf, unsigned long value);
28487 +extern void avr32_insert_cpop(const struct avr32_ifield *field,
28488 +                             void *buf, unsigned long value);
28489 +extern void avr32_insert_k12cp(const struct avr32_ifield *field,
28490 +                              void *buf, unsigned long value);
28491 +
28492 +extern void avr32_extract_simple(const struct avr32_ifield *field,
28493 +                                void *buf, unsigned long *value);
28494 +extern void avr32_extract_bit5c(const struct avr32_ifield *field,
28495 +                               void *buf, unsigned long *value);
28496 +extern void avr32_extract_k10(const struct avr32_ifield *field,
28497 +                             void *buf, unsigned long *value);
28498 +extern void avr32_extract_k21(const struct avr32_ifield *field,
28499 +                             void *buf, unsigned long *value);
28500 +extern void avr32_extract_cpop(const struct avr32_ifield *field,
28501 +                              void *buf, unsigned long *value);
28502 +extern void avr32_extract_k12cp(const struct avr32_ifield *field,
28503 +                               void *buf, unsigned long *value);
28504 +
28505 +enum avr32_operand_type
28506 +{
28507 +  AVR32_OPERAND_INTREG,                /* just a register */
28508 +  AVR32_OPERAND_INTREG_PREDEC, /* register with pre-decrement */
28509 +  AVR32_OPERAND_INTREG_POSTINC,        /* register with post-increment */
28510 +  AVR32_OPERAND_INTREG_LSL,    /* register with left shift */
28511 +  AVR32_OPERAND_INTREG_LSR,    /* register with right shift */
28512 +  AVR32_OPERAND_INTREG_BSEL,   /* register with byte selector */
28513 +  AVR32_OPERAND_INTREG_HSEL,   /* register with halfword selector */
28514 +  AVR32_OPERAND_INTREG_SDISP,  /* Rp[signed disp] */
28515 +  AVR32_OPERAND_INTREG_SDISP_H,        /* Rp[signed hword-aligned disp] */
28516 +  AVR32_OPERAND_INTREG_SDISP_W,        /* Rp[signed word-aligned disp] */
28517 +  AVR32_OPERAND_INTREG_UDISP,  /* Rp[unsigned disp] */
28518 +  AVR32_OPERAND_INTREG_UDISP_H,        /* Rp[unsigned hword-aligned disp] */
28519 +  AVR32_OPERAND_INTREG_UDISP_W, /* Rp[unsigned word-aligned disp] */
28520 +  AVR32_OPERAND_INTREG_INDEX,  /* Rp[Ri << sa] */
28521 +  AVR32_OPERAND_INTREG_XINDEX, /* Rp[Ri:bytesel << 2] */
28522 +  AVR32_OPERAND_DWREG,         /* Even-numbered register */
28523 +  AVR32_OPERAND_PC_UDISP_W,    /* PC[unsigned word-aligned disp] or label */
28524 +  AVR32_OPERAND_SP,            /* Just SP */
28525 +  AVR32_OPERAND_SP_UDISP_W,    /* SP[unsigned word-aligned disp] */
28526 +  AVR32_OPERAND_CPNO,
28527 +  AVR32_OPERAND_CPREG,
28528 +  AVR32_OPERAND_CPREG_D,
28529 +  AVR32_OPERAND_UNSIGNED_CONST,
28530 +  AVR32_OPERAND_UNSIGNED_CONST_W,
28531 +  AVR32_OPERAND_SIGNED_CONST,
28532 +  AVR32_OPERAND_SIGNED_CONST_W,
28533 +  AVR32_OPERAND_JMPLABEL,
28534 +  AVR32_OPERAND_UNSIGNED_NUMBER,
28535 +  AVR32_OPERAND_UNSIGNED_NUMBER_W,
28536 +  AVR32_OPERAND_REGLIST8,
28537 +  AVR32_OPERAND_REGLIST9,
28538 +  AVR32_OPERAND_REGLIST16,
28539 +  AVR32_OPERAND_REGLIST_LDM,
28540 +  AVR32_OPERAND_REGLIST_CP8,
28541 +  AVR32_OPERAND_REGLIST_CPD8,
28542 +  AVR32_OPERAND_RETVAL,
28543 +  AVR32_OPERAND_MCALL,
28544 +  AVR32_OPERAND_JOSPINC,
28545 +  AVR32_OPERAND_COH,
28546 +  AVR32_OPERAND_PICO_REG_W,
28547 +  AVR32_OPERAND_PICO_REG_D,
28548 +  AVR32_OPERAND_PICO_REGLIST_W,
28549 +  AVR32_OPERAND_PICO_REGLIST_D,
28550 +  AVR32_OPERAND_PICO_IN,
28551 +  AVR32_OPERAND_PICO_OUT0,
28552 +  AVR32_OPERAND_PICO_OUT1,
28553 +  AVR32_OPERAND_PICO_OUT2,
28554 +  AVR32_OPERAND_PICO_OUT3,
28555 +  AVR32_OPERAND__END_
28556 +};
28557 +#define AVR32_OPERAND_UNKNOWN AVR32_OPERAND__END_
28558 +#define AVR32_NR_OPERANDS AVR32_OPERAND__END_
28559 +
28560 +enum avr32_ifield_type
28561 +{
28562 +  AVR32_IFIELD_RX,
28563 +  AVR32_IFIELD_RY,
28564 +  AVR32_IFIELD_COND4C,
28565 +  AVR32_IFIELD_K8C,
28566 +  AVR32_IFIELD_K7C,
28567 +  AVR32_IFIELD_K5C,
28568 +  AVR32_IFIELD_K3,
28569 +  AVR32_IFIELD_RY_DW,
28570 +  AVR32_IFIELD_COND4E,
28571 +  AVR32_IFIELD_K8E,
28572 +  AVR32_IFIELD_BIT5C,
28573 +  AVR32_IFIELD_COND3,
28574 +  AVR32_IFIELD_K10,
28575 +  AVR32_IFIELD_POPM,
28576 +  AVR32_IFIELD_K2,
28577 +  AVR32_IFIELD_RD_E,
28578 +  AVR32_IFIELD_RD_DW,
28579 +  AVR32_IFIELD_X,
28580 +  AVR32_IFIELD_Y,
28581 +  AVR32_IFIELD_X2,
28582 +  AVR32_IFIELD_Y2,
28583 +  AVR32_IFIELD_K5E,
28584 +  AVR32_IFIELD_PART2,
28585 +  AVR32_IFIELD_PART1,
28586 +  AVR32_IFIELD_K16,
28587 +  AVR32_IFIELD_CACHEOP,
28588 +  AVR32_IFIELD_K11,
28589 +  AVR32_IFIELD_K21,
28590 +  AVR32_IFIELD_CPOP,
28591 +  AVR32_IFIELD_CPNO,
28592 +  AVR32_IFIELD_CRD_RI,
28593 +  AVR32_IFIELD_CRX,
28594 +  AVR32_IFIELD_CRY,
28595 +  AVR32_IFIELD_K7E,
28596 +  AVR32_IFIELD_CRD_DW,
28597 +  AVR32_IFIELD_PART1_K12,
28598 +  AVR32_IFIELD_PART2_K12,
28599 +  AVR32_IFIELD_K12,
28600 +  AVR32_IFIELD_S5,
28601 +  AVR32_IFIELD_K5E2,
28602 +  AVR32_IFIELD_K4,
28603 +  AVR32_IFIELD_COND4E2,
28604 +  AVR32_IFIELD_K8E2,
28605 +  AVR32_IFIELD_K6,
28606 +  AVR32_IFIELD_MEM15,
28607 +  AVR32_IFIELD_MEMB5,
28608 +  AVR32_IFIELD_W,
28609 +  AVR32_IFIELD_CM_HL,
28610 +  AVR32_IFIELD_K12CP,
28611 +  AVR32_IFIELD_K9E,
28612 +  AVR32_IFIELD_FP_RX,
28613 +  AVR32_IFIELD_FP_RY,
28614 +  AVR32_IFIELD_FP_RD,
28615 +  AVR32_IFIELD_FP_RA,
28616 +  AVR32_IFIELD__END_,
28617 +};
28618 +#define AVR32_NR_IFIELDS AVR32_IFIELD__END_
28619 +
28620 +enum avr32_opc_type
28621 +{
28622 +  AVR32_OPC_ABS,
28623 +  AVR32_OPC_ACALL,
28624 +  AVR32_OPC_ACR,
28625 +  AVR32_OPC_ADC,
28626 +  AVR32_OPC_ADD1,
28627 +  AVR32_OPC_ADD2,
28628 +  AVR32_OPC_ADDABS,
28629 +  AVR32_OPC_ADDHH_W,
28630 +  AVR32_OPC_AND1,
28631 +  AVR32_OPC_AND2,
28632 +  AVR32_OPC_AND3,
28633 +  AVR32_OPC_ANDH,
28634 +  AVR32_OPC_ANDH_COH,
28635 +  AVR32_OPC_ANDL,
28636 +  AVR32_OPC_ANDL_COH,
28637 +  AVR32_OPC_ANDN,
28638 +  AVR32_OPC_ASR1,
28639 +  AVR32_OPC_ASR3,
28640 +  AVR32_OPC_ASR2,
28641 +  AVR32_OPC_BLD,
28642 +  AVR32_OPC_BREQ1,
28643 +  AVR32_OPC_BRNE1,
28644 +  AVR32_OPC_BRCC1,
28645 +  AVR32_OPC_BRCS1,
28646 +  AVR32_OPC_BRGE1,
28647 +  AVR32_OPC_BRLT1,
28648 +  AVR32_OPC_BRMI1,
28649 +  AVR32_OPC_BRPL1,
28650 +  AVR32_OPC_BREQ2,
28651 +  AVR32_OPC_BRNE2,
28652 +  AVR32_OPC_BRCC2,
28653 +  AVR32_OPC_BRCS2,
28654 +  AVR32_OPC_BRGE2,
28655 +  AVR32_OPC_BRLT2,
28656 +  AVR32_OPC_BRMI2,
28657 +  AVR32_OPC_BRPL2,
28658 +  AVR32_OPC_BRLS,
28659 +  AVR32_OPC_BRGT,
28660 +  AVR32_OPC_BRLE,
28661 +  AVR32_OPC_BRHI,
28662 +  AVR32_OPC_BRVS,
28663 +  AVR32_OPC_BRVC,
28664 +  AVR32_OPC_BRQS,
28665 +  AVR32_OPC_BRAL,
28666 +  AVR32_OPC_BREAKPOINT,
28667 +  AVR32_OPC_BREV,
28668 +  AVR32_OPC_BST,
28669 +  AVR32_OPC_CACHE,
28670 +  AVR32_OPC_CASTS_B,
28671 +  AVR32_OPC_CASTS_H,
28672 +  AVR32_OPC_CASTU_B,
28673 +  AVR32_OPC_CASTU_H,
28674 +  AVR32_OPC_CBR,
28675 +  AVR32_OPC_CLZ,
28676 +  AVR32_OPC_COM,
28677 +  AVR32_OPC_COP,
28678 +  AVR32_OPC_CP_B,
28679 +  AVR32_OPC_CP_H,
28680 +  AVR32_OPC_CP_W1,
28681 +  AVR32_OPC_CP_W2,
28682 +  AVR32_OPC_CP_W3,
28683 +  AVR32_OPC_CPC1,
28684 +  AVR32_OPC_CPC2,
28685 +  AVR32_OPC_CSRF,
28686 +  AVR32_OPC_CSRFCZ,
28687 +  AVR32_OPC_DIVS,
28688 +  AVR32_OPC_DIVU,
28689 +  AVR32_OPC_EOR1,
28690 +  AVR32_OPC_EOR2,
28691 +  AVR32_OPC_EOR3,
28692 +  AVR32_OPC_EORL,
28693 +  AVR32_OPC_EORH,
28694 +  AVR32_OPC_FRS,
28695 +  AVR32_OPC_ICALL,
28696 +  AVR32_OPC_INCJOSP,
28697 +  AVR32_OPC_LD_D1,
28698 +  AVR32_OPC_LD_D2,
28699 +  AVR32_OPC_LD_D3,
28700 +  AVR32_OPC_LD_D5,
28701 +  AVR32_OPC_LD_D4,
28702 +  AVR32_OPC_LD_SB2,
28703 +  AVR32_OPC_LD_SB1,
28704 +  AVR32_OPC_LD_UB1,
28705 +  AVR32_OPC_LD_UB2,
28706 +  AVR32_OPC_LD_UB5,
28707 +  AVR32_OPC_LD_UB3,
28708 +  AVR32_OPC_LD_UB4,
28709 +  AVR32_OPC_LD_SH1,
28710 +  AVR32_OPC_LD_SH2,
28711 +  AVR32_OPC_LD_SH5,
28712 +  AVR32_OPC_LD_SH3,
28713 +  AVR32_OPC_LD_SH4,
28714 +  AVR32_OPC_LD_UH1,
28715 +  AVR32_OPC_LD_UH2,
28716 +  AVR32_OPC_LD_UH5,
28717 +  AVR32_OPC_LD_UH3,
28718 +  AVR32_OPC_LD_UH4,
28719 +  AVR32_OPC_LD_W1,
28720 +  AVR32_OPC_LD_W2,
28721 +  AVR32_OPC_LD_W5,
28722 +  AVR32_OPC_LD_W6,
28723 +  AVR32_OPC_LD_W3,
28724 +  AVR32_OPC_LD_W4,
28725 +  AVR32_OPC_LDC_D1,
28726 +  AVR32_OPC_LDC_D2,
28727 +  AVR32_OPC_LDC_D3,
28728 +  AVR32_OPC_LDC_W1,
28729 +  AVR32_OPC_LDC_W2,
28730 +  AVR32_OPC_LDC_W3,
28731 +  AVR32_OPC_LDC0_D,
28732 +  AVR32_OPC_LDC0_W,
28733 +  AVR32_OPC_LDCM_D,
28734 +  AVR32_OPC_LDCM_D_PU,
28735 +  AVR32_OPC_LDCM_W,
28736 +  AVR32_OPC_LDCM_W_PU,
28737 +  AVR32_OPC_LDDPC,
28738 +  AVR32_OPC_LDDPC_EXT,
28739 +  AVR32_OPC_LDDSP,
28740 +  AVR32_OPC_LDINS_B,
28741 +  AVR32_OPC_LDINS_H,
28742 +  AVR32_OPC_LDM,
28743 +  AVR32_OPC_LDMTS,
28744 +  AVR32_OPC_LDMTS_PU,
28745 +  AVR32_OPC_LDSWP_SH,
28746 +  AVR32_OPC_LDSWP_UH,
28747 +  AVR32_OPC_LDSWP_W,
28748 +  AVR32_OPC_LSL1,
28749 +  AVR32_OPC_LSL3,
28750 +  AVR32_OPC_LSL2,
28751 +  AVR32_OPC_LSR1,
28752 +  AVR32_OPC_LSR3,
28753 +  AVR32_OPC_LSR2,
28754 +  AVR32_OPC_MAC,
28755 +  AVR32_OPC_MACHH_D,
28756 +  AVR32_OPC_MACHH_W,
28757 +  AVR32_OPC_MACS_D,
28758 +  AVR32_OPC_MACSATHH_W,
28759 +  AVR32_OPC_MACUD,
28760 +  AVR32_OPC_MACWH_D,
28761 +  AVR32_OPC_MAX,
28762 +  AVR32_OPC_MCALL,
28763 +  AVR32_OPC_MFDR,
28764 +  AVR32_OPC_MFSR,
28765 +  AVR32_OPC_MIN,
28766 +  AVR32_OPC_MOV3,
28767 +  AVR32_OPC_MOV1,
28768 +  AVR32_OPC_MOV2,
28769 +  AVR32_OPC_MOVEQ1,
28770 +  AVR32_OPC_MOVNE1,
28771 +  AVR32_OPC_MOVCC1,
28772 +  AVR32_OPC_MOVCS1,
28773 +  AVR32_OPC_MOVGE1,
28774 +  AVR32_OPC_MOVLT1,
28775 +  AVR32_OPC_MOVMI1,
28776 +  AVR32_OPC_MOVPL1,
28777 +  AVR32_OPC_MOVLS1,
28778 +  AVR32_OPC_MOVGT1,
28779 +  AVR32_OPC_MOVLE1,
28780 +  AVR32_OPC_MOVHI1,
28781 +  AVR32_OPC_MOVVS1,
28782 +  AVR32_OPC_MOVVC1,
28783 +  AVR32_OPC_MOVQS1,
28784 +  AVR32_OPC_MOVAL1,
28785 +  AVR32_OPC_MOVEQ2,
28786 +  AVR32_OPC_MOVNE2,
28787 +  AVR32_OPC_MOVCC2,
28788 +  AVR32_OPC_MOVCS2,
28789 +  AVR32_OPC_MOVGE2,
28790 +  AVR32_OPC_MOVLT2,
28791 +  AVR32_OPC_MOVMI2,
28792 +  AVR32_OPC_MOVPL2,
28793 +  AVR32_OPC_MOVLS2,
28794 +  AVR32_OPC_MOVGT2,
28795 +  AVR32_OPC_MOVLE2,
28796 +  AVR32_OPC_MOVHI2,
28797 +  AVR32_OPC_MOVVS2,
28798 +  AVR32_OPC_MOVVC2,
28799 +  AVR32_OPC_MOVQS2,
28800 +  AVR32_OPC_MOVAL2,
28801 +  AVR32_OPC_MTDR,
28802 +  AVR32_OPC_MTSR,
28803 +  AVR32_OPC_MUL1,
28804 +  AVR32_OPC_MUL2,
28805 +  AVR32_OPC_MUL3,
28806 +  AVR32_OPC_MULHH_W,
28807 +  AVR32_OPC_MULNHH_W,
28808 +  AVR32_OPC_MULNWH_D,
28809 +  AVR32_OPC_MULSD,
28810 +  AVR32_OPC_MULSATHH_H,
28811 +  AVR32_OPC_MULSATHH_W,
28812 +  AVR32_OPC_MULSATRNDHH_H,
28813 +  AVR32_OPC_MULSATRNDWH_W,
28814 +  AVR32_OPC_MULSATWH_W,
28815 +  AVR32_OPC_MULU_D,
28816 +  AVR32_OPC_MULWH_D,
28817 +  AVR32_OPC_MUSFR,
28818 +  AVR32_OPC_MUSTR,
28819 +  AVR32_OPC_MVCR_D,
28820 +  AVR32_OPC_MVCR_W,
28821 +  AVR32_OPC_MVRC_D,
28822 +  AVR32_OPC_MVRC_W,
28823 +  AVR32_OPC_NEG,
28824 +  AVR32_OPC_NOP,
28825 +  AVR32_OPC_OR1,
28826 +  AVR32_OPC_OR2,
28827 +  AVR32_OPC_OR3,
28828 +  AVR32_OPC_ORH,
28829 +  AVR32_OPC_ORL,
28830 +  AVR32_OPC_PABS_SB,
28831 +  AVR32_OPC_PABS_SH,
28832 +  AVR32_OPC_PACKSH_SB,
28833 +  AVR32_OPC_PACKSH_UB,
28834 +  AVR32_OPC_PACKW_SH,
28835 +  AVR32_OPC_PADD_B,
28836 +  AVR32_OPC_PADD_H,
28837 +  AVR32_OPC_PADDH_SH,
28838 +  AVR32_OPC_PADDH_UB,
28839 +  AVR32_OPC_PADDS_SB,
28840 +  AVR32_OPC_PADDS_SH,
28841 +  AVR32_OPC_PADDS_UB,
28842 +  AVR32_OPC_PADDS_UH,
28843 +  AVR32_OPC_PADDSUB_H,
28844 +  AVR32_OPC_PADDSUBH_SH,
28845 +  AVR32_OPC_PADDSUBS_SH,
28846 +  AVR32_OPC_PADDSUBS_UH,
28847 +  AVR32_OPC_PADDX_H,
28848 +  AVR32_OPC_PADDXH_SH,
28849 +  AVR32_OPC_PADDXS_SH,
28850 +  AVR32_OPC_PADDXS_UH,
28851 +  AVR32_OPC_PASR_B,
28852 +  AVR32_OPC_PASR_H,
28853 +  AVR32_OPC_PAVG_SH,
28854 +  AVR32_OPC_PAVG_UB,
28855 +  AVR32_OPC_PLSL_B,
28856 +  AVR32_OPC_PLSL_H,
28857 +  AVR32_OPC_PLSR_B,
28858 +  AVR32_OPC_PLSR_H,
28859 +  AVR32_OPC_PMAX_SH,
28860 +  AVR32_OPC_PMAX_UB,
28861 +  AVR32_OPC_PMIN_SH,
28862 +  AVR32_OPC_PMIN_UB,
28863 +  AVR32_OPC_POPJC,
28864 +  AVR32_OPC_POPM,
28865 +  AVR32_OPC_POPM_E,
28866 +  AVR32_OPC_PREF,
28867 +  AVR32_OPC_PSAD,
28868 +  AVR32_OPC_PSUB_B,
28869 +  AVR32_OPC_PSUB_H,
28870 +  AVR32_OPC_PSUBADD_H,
28871 +  AVR32_OPC_PSUBADDH_SH,
28872 +  AVR32_OPC_PSUBADDS_SH,
28873 +  AVR32_OPC_PSUBADDS_UH,
28874 +  AVR32_OPC_PSUBH_SH,
28875 +  AVR32_OPC_PSUBH_UB,
28876 +  AVR32_OPC_PSUBS_SB,
28877 +  AVR32_OPC_PSUBS_SH,
28878 +  AVR32_OPC_PSUBS_UB,
28879 +  AVR32_OPC_PSUBS_UH,
28880 +  AVR32_OPC_PSUBX_H,
28881 +  AVR32_OPC_PSUBXH_SH,
28882 +  AVR32_OPC_PSUBXS_SH,
28883 +  AVR32_OPC_PSUBXS_UH,
28884 +  AVR32_OPC_PUNPCKSB_H,
28885 +  AVR32_OPC_PUNPCKUB_H,
28886 +  AVR32_OPC_PUSHJC,
28887 +  AVR32_OPC_PUSHM,
28888 +  AVR32_OPC_PUSHM_E,
28889 +  AVR32_OPC_RCALL1,
28890 +  AVR32_OPC_RCALL2,
28891 +  AVR32_OPC_RETEQ,
28892 +  AVR32_OPC_RETNE,
28893 +  AVR32_OPC_RETCC,
28894 +  AVR32_OPC_RETCS,
28895 +  AVR32_OPC_RETGE,
28896 +  AVR32_OPC_RETLT,
28897 +  AVR32_OPC_RETMI,
28898 +  AVR32_OPC_RETPL,
28899 +  AVR32_OPC_RETLS,
28900 +  AVR32_OPC_RETGT,
28901 +  AVR32_OPC_RETLE,
28902 +  AVR32_OPC_RETHI,
28903 +  AVR32_OPC_RETVS,
28904 +  AVR32_OPC_RETVC,
28905 +  AVR32_OPC_RETQS,
28906 +  AVR32_OPC_RETAL,
28907 +  AVR32_OPC_RETD,
28908 +  AVR32_OPC_RETE,
28909 +  AVR32_OPC_RETJ,
28910 +  AVR32_OPC_RETS,
28911 +  AVR32_OPC_RJMP,
28912 +  AVR32_OPC_ROL,
28913 +  AVR32_OPC_ROR,
28914 +  AVR32_OPC_RSUB1,
28915 +  AVR32_OPC_RSUB2,
28916 +  AVR32_OPC_SATADD_H,
28917 +  AVR32_OPC_SATADD_W,
28918 +  AVR32_OPC_SATRNDS,
28919 +  AVR32_OPC_SATRNDU,
28920 +  AVR32_OPC_SATS,
28921 +  AVR32_OPC_SATSUB_H,
28922 +  AVR32_OPC_SATSUB_W1,
28923 +  AVR32_OPC_SATSUB_W2,
28924 +  AVR32_OPC_SATU,
28925 +  AVR32_OPC_SBC,
28926 +  AVR32_OPC_SBR,
28927 +  AVR32_OPC_SCALL,
28928 +  AVR32_OPC_SCR,
28929 +  AVR32_OPC_SLEEP,
28930 +  AVR32_OPC_SREQ,
28931 +  AVR32_OPC_SRNE,
28932 +  AVR32_OPC_SRCC,
28933 +  AVR32_OPC_SRCS,
28934 +  AVR32_OPC_SRGE,
28935 +  AVR32_OPC_SRLT,
28936 +  AVR32_OPC_SRMI,
28937 +  AVR32_OPC_SRPL,
28938 +  AVR32_OPC_SRLS,
28939 +  AVR32_OPC_SRGT,
28940 +  AVR32_OPC_SRLE,
28941 +  AVR32_OPC_SRHI,
28942 +  AVR32_OPC_SRVS,
28943 +  AVR32_OPC_SRVC,
28944 +  AVR32_OPC_SRQS,
28945 +  AVR32_OPC_SRAL,
28946 +  AVR32_OPC_SSRF,
28947 +  AVR32_OPC_ST_B1,
28948 +  AVR32_OPC_ST_B2,
28949 +  AVR32_OPC_ST_B5,
28950 +  AVR32_OPC_ST_B3,
28951 +  AVR32_OPC_ST_B4,
28952 +  AVR32_OPC_ST_D1,
28953 +  AVR32_OPC_ST_D2,
28954 +  AVR32_OPC_ST_D3,
28955 +  AVR32_OPC_ST_D5,
28956 +  AVR32_OPC_ST_D4,
28957 +  AVR32_OPC_ST_H1,
28958 +  AVR32_OPC_ST_H2,
28959 +  AVR32_OPC_ST_H5,
28960 +  AVR32_OPC_ST_H3,
28961 +  AVR32_OPC_ST_H4,
28962 +  AVR32_OPC_ST_W1,
28963 +  AVR32_OPC_ST_W2,
28964 +  AVR32_OPC_ST_W5,
28965 +  AVR32_OPC_ST_W3,
28966 +  AVR32_OPC_ST_W4,
28967 +  AVR32_OPC_STC_D1,
28968 +  AVR32_OPC_STC_D2,
28969 +  AVR32_OPC_STC_D3,
28970 +  AVR32_OPC_STC_W1,
28971 +  AVR32_OPC_STC_W2,
28972 +  AVR32_OPC_STC_W3,
28973 +  AVR32_OPC_STC0_D,
28974 +  AVR32_OPC_STC0_W,
28975 +  AVR32_OPC_STCM_D,
28976 +  AVR32_OPC_STCM_D_PU,
28977 +  AVR32_OPC_STCM_W,
28978 +  AVR32_OPC_STCM_W_PU,
28979 +  AVR32_OPC_STCOND,
28980 +  AVR32_OPC_STDSP,
28981 +  AVR32_OPC_STHH_W2,
28982 +  AVR32_OPC_STHH_W1,
28983 +  AVR32_OPC_STM,
28984 +  AVR32_OPC_STM_PU,
28985 +  AVR32_OPC_STMTS,
28986 +  AVR32_OPC_STMTS_PU,
28987 +  AVR32_OPC_STSWP_H,
28988 +  AVR32_OPC_STSWP_W,
28989 +  AVR32_OPC_SUB1,
28990 +  AVR32_OPC_SUB2,
28991 +  AVR32_OPC_SUB5,
28992 +  AVR32_OPC_SUB3_SP,
28993 +  AVR32_OPC_SUB3,
28994 +  AVR32_OPC_SUB4,
28995 +  AVR32_OPC_SUBEQ,
28996 +  AVR32_OPC_SUBNE,
28997 +  AVR32_OPC_SUBCC,
28998 +  AVR32_OPC_SUBCS,
28999 +  AVR32_OPC_SUBGE,
29000 +  AVR32_OPC_SUBLT,
29001 +  AVR32_OPC_SUBMI,
29002 +  AVR32_OPC_SUBPL,
29003 +  AVR32_OPC_SUBLS,
29004 +  AVR32_OPC_SUBGT,
29005 +  AVR32_OPC_SUBLE,
29006 +  AVR32_OPC_SUBHI,
29007 +  AVR32_OPC_SUBVS,
29008 +  AVR32_OPC_SUBVC,
29009 +  AVR32_OPC_SUBQS,
29010 +  AVR32_OPC_SUBAL,
29011 +  AVR32_OPC_SUBFEQ,
29012 +  AVR32_OPC_SUBFNE,
29013 +  AVR32_OPC_SUBFCC,
29014 +  AVR32_OPC_SUBFCS,
29015 +  AVR32_OPC_SUBFGE,
29016 +  AVR32_OPC_SUBFLT,
29017 +  AVR32_OPC_SUBFMI,
29018 +  AVR32_OPC_SUBFPL,
29019 +  AVR32_OPC_SUBFLS,
29020 +  AVR32_OPC_SUBFGT,
29021 +  AVR32_OPC_SUBFLE,
29022 +  AVR32_OPC_SUBFHI,
29023 +  AVR32_OPC_SUBFVS,
29024 +  AVR32_OPC_SUBFVC,
29025 +  AVR32_OPC_SUBFQS,
29026 +  AVR32_OPC_SUBFAL,
29027 +  AVR32_OPC_SUBHH_W,
29028 +  AVR32_OPC_SWAP_B,
29029 +  AVR32_OPC_SWAP_BH,
29030 +  AVR32_OPC_SWAP_H,
29031 +  AVR32_OPC_SYNC,
29032 +  AVR32_OPC_TLBR,
29033 +  AVR32_OPC_TLBS,
29034 +  AVR32_OPC_TLBW,
29035 +  AVR32_OPC_TNBZ,
29036 +  AVR32_OPC_TST,
29037 +  AVR32_OPC_XCHG,
29038 +  AVR32_OPC_MEMC,
29039 +  AVR32_OPC_MEMS,
29040 +  AVR32_OPC_MEMT,
29041 +  AVR32_OPC_BFEXTS,
29042 +  AVR32_OPC_BFEXTU,
29043 +  AVR32_OPC_BFINS,
29044 +  AVR32_OPC_RSUBEQ,
29045 +  AVR32_OPC_RSUBNE,
29046 +  AVR32_OPC_RSUBCC,
29047 +  AVR32_OPC_RSUBCS,
29048 +  AVR32_OPC_RSUBGE,
29049 +  AVR32_OPC_RSUBLT,
29050 +  AVR32_OPC_RSUBMI,
29051 +  AVR32_OPC_RSUBPL,
29052 +  AVR32_OPC_RSUBLS,
29053 +  AVR32_OPC_RSUBGT,
29054 +  AVR32_OPC_RSUBLE,
29055 +  AVR32_OPC_RSUBHI,
29056 +  AVR32_OPC_RSUBVS,
29057 +  AVR32_OPC_RSUBVC,
29058 +  AVR32_OPC_RSUBQS,
29059 +  AVR32_OPC_RSUBAL,
29060 +  AVR32_OPC_ADDEQ,
29061 +  AVR32_OPC_ADDNE,
29062 +  AVR32_OPC_ADDCC,
29063 +  AVR32_OPC_ADDCS,
29064 +  AVR32_OPC_ADDGE,
29065 +  AVR32_OPC_ADDLT,
29066 +  AVR32_OPC_ADDMI,
29067 +  AVR32_OPC_ADDPL,
29068 +  AVR32_OPC_ADDLS,
29069 +  AVR32_OPC_ADDGT,
29070 +  AVR32_OPC_ADDLE,
29071 +  AVR32_OPC_ADDHI,
29072 +  AVR32_OPC_ADDVS,
29073 +  AVR32_OPC_ADDVC,
29074 +  AVR32_OPC_ADDQS,
29075 +  AVR32_OPC_ADDAL,
29076 +  AVR32_OPC_SUB2EQ,
29077 +  AVR32_OPC_SUB2NE,
29078 +  AVR32_OPC_SUB2CC,
29079 +  AVR32_OPC_SUB2CS,
29080 +  AVR32_OPC_SUB2GE,
29081 +  AVR32_OPC_SUB2LT,
29082 +  AVR32_OPC_SUB2MI,
29083 +  AVR32_OPC_SUB2PL,
29084 +  AVR32_OPC_SUB2LS,
29085 +  AVR32_OPC_SUB2GT,
29086 +  AVR32_OPC_SUB2LE,
29087 +  AVR32_OPC_SUB2HI,
29088 +  AVR32_OPC_SUB2VS,
29089 +  AVR32_OPC_SUB2VC,
29090 +  AVR32_OPC_SUB2QS,
29091 +  AVR32_OPC_SUB2AL,
29092 +  AVR32_OPC_ANDEQ,
29093 +  AVR32_OPC_ANDNE,
29094 +  AVR32_OPC_ANDCC,
29095 +  AVR32_OPC_ANDCS,
29096 +  AVR32_OPC_ANDGE,
29097 +  AVR32_OPC_ANDLT,
29098 +  AVR32_OPC_ANDMI,
29099 +  AVR32_OPC_ANDPL,
29100 +  AVR32_OPC_ANDLS,
29101 +  AVR32_OPC_ANDGT,
29102 +  AVR32_OPC_ANDLE,
29103 +  AVR32_OPC_ANDHI,
29104 +  AVR32_OPC_ANDVS,
29105 +  AVR32_OPC_ANDVC,
29106 +  AVR32_OPC_ANDQS,
29107 +  AVR32_OPC_ANDAL,
29108 +  AVR32_OPC_OREQ,
29109 +  AVR32_OPC_ORNE,
29110 +  AVR32_OPC_ORCC,
29111 +  AVR32_OPC_ORCS,
29112 +  AVR32_OPC_ORGE,
29113 +  AVR32_OPC_ORLT,
29114 +  AVR32_OPC_ORMI,
29115 +  AVR32_OPC_ORPL,
29116 +  AVR32_OPC_ORLS,
29117 +  AVR32_OPC_ORGT,
29118 +  AVR32_OPC_ORLE,
29119 +  AVR32_OPC_ORHI,
29120 +  AVR32_OPC_ORVS,
29121 +  AVR32_OPC_ORVC,
29122 +  AVR32_OPC_ORQS,
29123 +  AVR32_OPC_ORAL,
29124 +  AVR32_OPC_EOREQ,
29125 +  AVR32_OPC_EORNE,
29126 +  AVR32_OPC_EORCC,
29127 +  AVR32_OPC_EORCS,
29128 +  AVR32_OPC_EORGE,
29129 +  AVR32_OPC_EORLT,
29130 +  AVR32_OPC_EORMI,
29131 +  AVR32_OPC_EORPL,
29132 +  AVR32_OPC_EORLS,
29133 +  AVR32_OPC_EORGT,
29134 +  AVR32_OPC_EORLE,
29135 +  AVR32_OPC_EORHI,
29136 +  AVR32_OPC_EORVS,
29137 +  AVR32_OPC_EORVC,
29138 +  AVR32_OPC_EORQS,
29139 +  AVR32_OPC_EORAL,
29140 +  AVR32_OPC_LD_WEQ,
29141 +  AVR32_OPC_LD_WNE,
29142 +  AVR32_OPC_LD_WCC,
29143 +  AVR32_OPC_LD_WCS,
29144 +  AVR32_OPC_LD_WGE,
29145 +  AVR32_OPC_LD_WLT,
29146 +  AVR32_OPC_LD_WMI,
29147 +  AVR32_OPC_LD_WPL,
29148 +  AVR32_OPC_LD_WLS,
29149 +  AVR32_OPC_LD_WGT,
29150 +  AVR32_OPC_LD_WLE,
29151 +  AVR32_OPC_LD_WHI,
29152 +  AVR32_OPC_LD_WVS,
29153 +  AVR32_OPC_LD_WVC,
29154 +  AVR32_OPC_LD_WQS,
29155 +  AVR32_OPC_LD_WAL,
29156 +  AVR32_OPC_LD_SHEQ,
29157 +  AVR32_OPC_LD_SHNE,
29158 +  AVR32_OPC_LD_SHCC,
29159 +  AVR32_OPC_LD_SHCS,
29160 +  AVR32_OPC_LD_SHGE,
29161 +  AVR32_OPC_LD_SHLT,
29162 +  AVR32_OPC_LD_SHMI,
29163 +  AVR32_OPC_LD_SHPL,
29164 +  AVR32_OPC_LD_SHLS,
29165 +  AVR32_OPC_LD_SHGT,
29166 +  AVR32_OPC_LD_SHLE,
29167 +  AVR32_OPC_LD_SHHI,
29168 +  AVR32_OPC_LD_SHVS,
29169 +  AVR32_OPC_LD_SHVC,
29170 +  AVR32_OPC_LD_SHQS,
29171 +  AVR32_OPC_LD_SHAL,
29172 +  AVR32_OPC_LD_UHEQ,
29173 +  AVR32_OPC_LD_UHNE,
29174 +  AVR32_OPC_LD_UHCC,
29175 +  AVR32_OPC_LD_UHCS,
29176 +  AVR32_OPC_LD_UHGE,
29177 +  AVR32_OPC_LD_UHLT,
29178 +  AVR32_OPC_LD_UHMI,
29179 +  AVR32_OPC_LD_UHPL,
29180 +  AVR32_OPC_LD_UHLS,
29181 +  AVR32_OPC_LD_UHGT,
29182 +  AVR32_OPC_LD_UHLE,
29183 +  AVR32_OPC_LD_UHHI,
29184 +  AVR32_OPC_LD_UHVS,
29185 +  AVR32_OPC_LD_UHVC,
29186 +  AVR32_OPC_LD_UHQS,
29187 +  AVR32_OPC_LD_UHAL,
29188 +  AVR32_OPC_LD_SBEQ,
29189 +  AVR32_OPC_LD_SBNE,
29190 +  AVR32_OPC_LD_SBCC,
29191 +  AVR32_OPC_LD_SBCS,
29192 +  AVR32_OPC_LD_SBGE,
29193 +  AVR32_OPC_LD_SBLT,
29194 +  AVR32_OPC_LD_SBMI,
29195 +  AVR32_OPC_LD_SBPL,
29196 +  AVR32_OPC_LD_SBLS,
29197 +  AVR32_OPC_LD_SBGT,
29198 +  AVR32_OPC_LD_SBLE,
29199 +  AVR32_OPC_LD_SBHI,
29200 +  AVR32_OPC_LD_SBVS,
29201 +  AVR32_OPC_LD_SBVC,
29202 +  AVR32_OPC_LD_SBQS,
29203 +  AVR32_OPC_LD_SBAL,
29204 +  AVR32_OPC_LD_UBEQ,
29205 +  AVR32_OPC_LD_UBNE,
29206 +  AVR32_OPC_LD_UBCC,
29207 +  AVR32_OPC_LD_UBCS,
29208 +  AVR32_OPC_LD_UBGE,
29209 +  AVR32_OPC_LD_UBLT,
29210 +  AVR32_OPC_LD_UBMI,
29211 +  AVR32_OPC_LD_UBPL,
29212 +  AVR32_OPC_LD_UBLS,
29213 +  AVR32_OPC_LD_UBGT,
29214 +  AVR32_OPC_LD_UBLE,
29215 +  AVR32_OPC_LD_UBHI,
29216 +  AVR32_OPC_LD_UBVS,
29217 +  AVR32_OPC_LD_UBVC,
29218 +  AVR32_OPC_LD_UBQS,
29219 +  AVR32_OPC_LD_UBAL,
29220 +  AVR32_OPC_ST_WEQ,
29221 +  AVR32_OPC_ST_WNE,
29222 +  AVR32_OPC_ST_WCC,
29223 +  AVR32_OPC_ST_WCS,
29224 +  AVR32_OPC_ST_WGE,
29225 +  AVR32_OPC_ST_WLT,
29226 +  AVR32_OPC_ST_WMI,
29227 +  AVR32_OPC_ST_WPL,
29228 +  AVR32_OPC_ST_WLS,
29229 +  AVR32_OPC_ST_WGT,
29230 +  AVR32_OPC_ST_WLE,
29231 +  AVR32_OPC_ST_WHI,
29232 +  AVR32_OPC_ST_WVS,
29233 +  AVR32_OPC_ST_WVC,
29234 +  AVR32_OPC_ST_WQS,
29235 +  AVR32_OPC_ST_WAL,
29236 +  AVR32_OPC_ST_HEQ,
29237 +  AVR32_OPC_ST_HNE,
29238 +  AVR32_OPC_ST_HCC,
29239 +  AVR32_OPC_ST_HCS,
29240 +  AVR32_OPC_ST_HGE,
29241 +  AVR32_OPC_ST_HLT,
29242 +  AVR32_OPC_ST_HMI,
29243 +  AVR32_OPC_ST_HPL,
29244 +  AVR32_OPC_ST_HLS,
29245 +  AVR32_OPC_ST_HGT,
29246 +  AVR32_OPC_ST_HLE,
29247 +  AVR32_OPC_ST_HHI,
29248 +  AVR32_OPC_ST_HVS,
29249 +  AVR32_OPC_ST_HVC,
29250 +  AVR32_OPC_ST_HQS,
29251 +  AVR32_OPC_ST_HAL,
29252 +  AVR32_OPC_ST_BEQ,
29253 +  AVR32_OPC_ST_BNE,
29254 +  AVR32_OPC_ST_BCC,
29255 +  AVR32_OPC_ST_BCS,
29256 +  AVR32_OPC_ST_BGE,
29257 +  AVR32_OPC_ST_BLT,
29258 +  AVR32_OPC_ST_BMI,
29259 +  AVR32_OPC_ST_BPL,
29260 +  AVR32_OPC_ST_BLS,
29261 +  AVR32_OPC_ST_BGT,
29262 +  AVR32_OPC_ST_BLE,
29263 +  AVR32_OPC_ST_BHI,
29264 +  AVR32_OPC_ST_BVS,
29265 +  AVR32_OPC_ST_BVC,
29266 +  AVR32_OPC_ST_BQS,
29267 +  AVR32_OPC_ST_BAL,
29268 +  AVR32_OPC_MOVH,
29269 +  AVR32_OPC_SSCALL,
29270 +  AVR32_OPC_RETSS,
29271 +  AVR32_OPC_FMAC_S,
29272 +  AVR32_OPC_FNMAC_S,
29273 +  AVR32_OPC_FMSC_S,
29274 +  AVR32_OPC_FNMSC_S,
29275 +  AVR32_OPC_FMUL_S,
29276 +  AVR32_OPC_FNMUL_S,
29277 +  AVR32_OPC_FADD_S,
29278 +  AVR32_OPC_FSUB_S,
29279 +  AVR32_OPC_FCASTRS_SW,
29280 +  AVR32_OPC_FCASTRS_UW,
29281 +  AVR32_OPC_FCASTSW_S,
29282 +  AVR32_OPC_FCASTUW_S,
29283 +  AVR32_OPC_FCMP_S,
29284 +  AVR32_OPC_FCHK_S,
29285 +  AVR32_OPC_FRCPA_S,
29286 +  AVR32_OPC_FRSQRTA_S,
29287 +  AVR32_OPC__END_
29288 +};
29289 +#define AVR32_NR_OPCODES AVR32_OPC__END_
29290 +
29291 +enum avr32_syntax_type
29292 +{
29293 +  AVR32_SYNTAX_ABS,
29294 +  AVR32_SYNTAX_ACALL,
29295 +  AVR32_SYNTAX_ACR,
29296 +  AVR32_SYNTAX_ADC,
29297 +  AVR32_SYNTAX_ADD1,
29298 +  AVR32_SYNTAX_ADD2,
29299 +  AVR32_SYNTAX_ADDABS,
29300 +  AVR32_SYNTAX_ADDHH_W,
29301 +  AVR32_SYNTAX_AND1,
29302 +  AVR32_SYNTAX_AND2,
29303 +  AVR32_SYNTAX_AND3,
29304 +  AVR32_SYNTAX_ANDH,
29305 +  AVR32_SYNTAX_ANDH_COH,
29306 +  AVR32_SYNTAX_ANDL,
29307 +  AVR32_SYNTAX_ANDL_COH,
29308 +  AVR32_SYNTAX_ANDN,
29309 +  AVR32_SYNTAX_ASR1,
29310 +  AVR32_SYNTAX_ASR3,
29311 +  AVR32_SYNTAX_ASR2,
29312 +  AVR32_SYNTAX_BFEXTS,
29313 +  AVR32_SYNTAX_BFEXTU,
29314 +  AVR32_SYNTAX_BFINS,
29315 +  AVR32_SYNTAX_BLD,
29316 +  AVR32_SYNTAX_BREQ1,
29317 +  AVR32_SYNTAX_BRNE1,
29318 +  AVR32_SYNTAX_BRCC1,
29319 +  AVR32_SYNTAX_BRCS1,
29320 +  AVR32_SYNTAX_BRGE1,
29321 +  AVR32_SYNTAX_BRLT1,
29322 +  AVR32_SYNTAX_BRMI1,
29323 +  AVR32_SYNTAX_BRPL1,
29324 +  AVR32_SYNTAX_BRHS1,
29325 +  AVR32_SYNTAX_BRLO1,
29326 +  AVR32_SYNTAX_BREQ2,
29327 +  AVR32_SYNTAX_BRNE2,
29328 +  AVR32_SYNTAX_BRCC2,
29329 +  AVR32_SYNTAX_BRCS2,
29330 +  AVR32_SYNTAX_BRGE2,
29331 +  AVR32_SYNTAX_BRLT2,
29332 +  AVR32_SYNTAX_BRMI2,
29333 +  AVR32_SYNTAX_BRPL2,
29334 +  AVR32_SYNTAX_BRLS,
29335 +  AVR32_SYNTAX_BRGT,
29336 +  AVR32_SYNTAX_BRLE,
29337 +  AVR32_SYNTAX_BRHI,
29338 +  AVR32_SYNTAX_BRVS,
29339 +  AVR32_SYNTAX_BRVC,
29340 +  AVR32_SYNTAX_BRQS,
29341 +  AVR32_SYNTAX_BRAL,
29342 +  AVR32_SYNTAX_BRHS2,
29343 +  AVR32_SYNTAX_BRLO2,
29344 +  AVR32_SYNTAX_BREAKPOINT,
29345 +  AVR32_SYNTAX_BREV,
29346 +  AVR32_SYNTAX_BST,
29347 +  AVR32_SYNTAX_CACHE,
29348 +  AVR32_SYNTAX_CASTS_B,
29349 +  AVR32_SYNTAX_CASTS_H,
29350 +  AVR32_SYNTAX_CASTU_B,
29351 +  AVR32_SYNTAX_CASTU_H,
29352 +  AVR32_SYNTAX_CBR,
29353 +  AVR32_SYNTAX_CLZ,
29354 +  AVR32_SYNTAX_COM,
29355 +  AVR32_SYNTAX_COP,
29356 +  AVR32_SYNTAX_CP_B,
29357 +  AVR32_SYNTAX_CP_H,
29358 +  AVR32_SYNTAX_CP_W1,
29359 +  AVR32_SYNTAX_CP_W2,
29360 +  AVR32_SYNTAX_CP_W3,
29361 +  AVR32_SYNTAX_CPC1,
29362 +  AVR32_SYNTAX_CPC2,
29363 +  AVR32_SYNTAX_CSRF,
29364 +  AVR32_SYNTAX_CSRFCZ,
29365 +  AVR32_SYNTAX_DIVS,
29366 +  AVR32_SYNTAX_DIVU,
29367 +  AVR32_SYNTAX_EOR1,
29368 +  AVR32_SYNTAX_EOR2,
29369 +  AVR32_SYNTAX_EOR3,
29370 +  AVR32_SYNTAX_EORL,
29371 +  AVR32_SYNTAX_EORH,
29372 +  AVR32_SYNTAX_FRS,
29373 +  AVR32_SYNTAX_SSCALL,
29374 +  AVR32_SYNTAX_RETSS,
29375 +  AVR32_SYNTAX_ICALL,
29376 +  AVR32_SYNTAX_INCJOSP,
29377 +  AVR32_SYNTAX_LD_D1,
29378 +  AVR32_SYNTAX_LD_D2,
29379 +  AVR32_SYNTAX_LD_D3,
29380 +  AVR32_SYNTAX_LD_D5,
29381 +  AVR32_SYNTAX_LD_D4,
29382 +  AVR32_SYNTAX_LD_SB2,
29383 +  AVR32_SYNTAX_LD_SB1,
29384 +  AVR32_SYNTAX_LD_UB1,
29385 +  AVR32_SYNTAX_LD_UB2,
29386 +  AVR32_SYNTAX_LD_UB5,
29387 +  AVR32_SYNTAX_LD_UB3,
29388 +  AVR32_SYNTAX_LD_UB4,
29389 +  AVR32_SYNTAX_LD_SH1,
29390 +  AVR32_SYNTAX_LD_SH2,
29391 +  AVR32_SYNTAX_LD_SH5,
29392 +  AVR32_SYNTAX_LD_SH3,
29393 +  AVR32_SYNTAX_LD_SH4,
29394 +  AVR32_SYNTAX_LD_UH1,
29395 +  AVR32_SYNTAX_LD_UH2,
29396 +  AVR32_SYNTAX_LD_UH5,
29397 +  AVR32_SYNTAX_LD_UH3,
29398 +  AVR32_SYNTAX_LD_UH4,
29399 +  AVR32_SYNTAX_LD_W1,
29400 +  AVR32_SYNTAX_LD_W2,
29401 +  AVR32_SYNTAX_LD_W5,
29402 +  AVR32_SYNTAX_LD_W6,
29403 +  AVR32_SYNTAX_LD_W3,
29404 +  AVR32_SYNTAX_LD_W4,
29405 +  AVR32_SYNTAX_LDC_D1,
29406 +  AVR32_SYNTAX_LDC_D2,
29407 +  AVR32_SYNTAX_LDC_D3,
29408 +  AVR32_SYNTAX_LDC_W1,
29409 +  AVR32_SYNTAX_LDC_W2,
29410 +  AVR32_SYNTAX_LDC_W3,
29411 +  AVR32_SYNTAX_LDC0_D,
29412 +  AVR32_SYNTAX_LDC0_W,
29413 +  AVR32_SYNTAX_LDCM_D,
29414 +  AVR32_SYNTAX_LDCM_D_PU,
29415 +  AVR32_SYNTAX_LDCM_W,
29416 +  AVR32_SYNTAX_LDCM_W_PU,
29417 +  AVR32_SYNTAX_LDDPC,
29418 +  AVR32_SYNTAX_LDDPC_EXT,
29419 +  AVR32_SYNTAX_LDDSP,
29420 +  AVR32_SYNTAX_LDINS_B,
29421 +  AVR32_SYNTAX_LDINS_H,
29422 +  AVR32_SYNTAX_LDM,
29423 +  AVR32_SYNTAX_LDMTS,
29424 +  AVR32_SYNTAX_LDMTS_PU,
29425 +  AVR32_SYNTAX_LDSWP_SH,
29426 +  AVR32_SYNTAX_LDSWP_UH,
29427 +  AVR32_SYNTAX_LDSWP_W,
29428 +  AVR32_SYNTAX_LSL1,
29429 +  AVR32_SYNTAX_LSL3,
29430 +  AVR32_SYNTAX_LSL2,
29431 +  AVR32_SYNTAX_LSR1,
29432 +  AVR32_SYNTAX_LSR3,
29433 +  AVR32_SYNTAX_LSR2,
29434 +  AVR32_SYNTAX_MAC,
29435 +  AVR32_SYNTAX_MACHH_D,
29436 +  AVR32_SYNTAX_MACHH_W,
29437 +  AVR32_SYNTAX_MACS_D,
29438 +  AVR32_SYNTAX_MACSATHH_W,
29439 +  AVR32_SYNTAX_MACUD,
29440 +  AVR32_SYNTAX_MACWH_D,
29441 +  AVR32_SYNTAX_MAX,
29442 +  AVR32_SYNTAX_MCALL,
29443 +  AVR32_SYNTAX_MFDR,
29444 +  AVR32_SYNTAX_MFSR,
29445 +  AVR32_SYNTAX_MIN,
29446 +  AVR32_SYNTAX_MOV3,
29447 +  AVR32_SYNTAX_MOV1,
29448 +  AVR32_SYNTAX_MOV2,
29449 +  AVR32_SYNTAX_MOVEQ1,
29450 +  AVR32_SYNTAX_MOVNE1,
29451 +  AVR32_SYNTAX_MOVCC1,
29452 +  AVR32_SYNTAX_MOVCS1,
29453 +  AVR32_SYNTAX_MOVGE1,
29454 +  AVR32_SYNTAX_MOVLT1,
29455 +  AVR32_SYNTAX_MOVMI1,
29456 +  AVR32_SYNTAX_MOVPL1,
29457 +  AVR32_SYNTAX_MOVLS1,
29458 +  AVR32_SYNTAX_MOVGT1,
29459 +  AVR32_SYNTAX_MOVLE1,
29460 +  AVR32_SYNTAX_MOVHI1,
29461 +  AVR32_SYNTAX_MOVVS1,
29462 +  AVR32_SYNTAX_MOVVC1,
29463 +  AVR32_SYNTAX_MOVQS1,
29464 +  AVR32_SYNTAX_MOVAL1,
29465 +  AVR32_SYNTAX_MOVHS1,
29466 +  AVR32_SYNTAX_MOVLO1,
29467 +  AVR32_SYNTAX_MOVEQ2,
29468 +  AVR32_SYNTAX_MOVNE2,
29469 +  AVR32_SYNTAX_MOVCC2,
29470 +  AVR32_SYNTAX_MOVCS2,
29471 +  AVR32_SYNTAX_MOVGE2,
29472 +  AVR32_SYNTAX_MOVLT2,
29473 +  AVR32_SYNTAX_MOVMI2,
29474 +  AVR32_SYNTAX_MOVPL2,
29475 +  AVR32_SYNTAX_MOVLS2,
29476 +  AVR32_SYNTAX_MOVGT2,
29477 +  AVR32_SYNTAX_MOVLE2,
29478 +  AVR32_SYNTAX_MOVHI2,
29479 +  AVR32_SYNTAX_MOVVS2,
29480 +  AVR32_SYNTAX_MOVVC2,
29481 +  AVR32_SYNTAX_MOVQS2,
29482 +  AVR32_SYNTAX_MOVAL2,
29483 +  AVR32_SYNTAX_MOVHS2,
29484 +  AVR32_SYNTAX_MOVLO2,
29485 +  AVR32_SYNTAX_MTDR,
29486 +  AVR32_SYNTAX_MTSR,
29487 +  AVR32_SYNTAX_MUL1,
29488 +  AVR32_SYNTAX_MUL2,
29489 +  AVR32_SYNTAX_MUL3,
29490 +  AVR32_SYNTAX_MULHH_W,
29491 +  AVR32_SYNTAX_MULNHH_W,
29492 +  AVR32_SYNTAX_MULNWH_D,
29493 +  AVR32_SYNTAX_MULSD,
29494 +  AVR32_SYNTAX_MULSATHH_H,
29495 +  AVR32_SYNTAX_MULSATHH_W,
29496 +  AVR32_SYNTAX_MULSATRNDHH_H,
29497 +  AVR32_SYNTAX_MULSATRNDWH_W,
29498 +  AVR32_SYNTAX_MULSATWH_W,
29499 +  AVR32_SYNTAX_MULU_D,
29500 +  AVR32_SYNTAX_MULWH_D,
29501 +  AVR32_SYNTAX_MUSFR,
29502 +  AVR32_SYNTAX_MUSTR,
29503 +  AVR32_SYNTAX_MVCR_D,
29504 +  AVR32_SYNTAX_MVCR_W,
29505 +  AVR32_SYNTAX_MVRC_D,
29506 +  AVR32_SYNTAX_MVRC_W,
29507 +  AVR32_SYNTAX_NEG,
29508 +  AVR32_SYNTAX_NOP,
29509 +  AVR32_SYNTAX_OR1,
29510 +  AVR32_SYNTAX_OR2,
29511 +  AVR32_SYNTAX_OR3,
29512 +  AVR32_SYNTAX_ORH,
29513 +  AVR32_SYNTAX_ORL,
29514 +  AVR32_SYNTAX_PABS_SB,
29515 +  AVR32_SYNTAX_PABS_SH,
29516 +  AVR32_SYNTAX_PACKSH_SB,
29517 +  AVR32_SYNTAX_PACKSH_UB,
29518 +  AVR32_SYNTAX_PACKW_SH,
29519 +  AVR32_SYNTAX_PADD_B,
29520 +  AVR32_SYNTAX_PADD_H,
29521 +  AVR32_SYNTAX_PADDH_SH,
29522 +  AVR32_SYNTAX_PADDH_UB,
29523 +  AVR32_SYNTAX_PADDS_SB,
29524 +  AVR32_SYNTAX_PADDS_SH,
29525 +  AVR32_SYNTAX_PADDS_UB,
29526 +  AVR32_SYNTAX_PADDS_UH,
29527 +  AVR32_SYNTAX_PADDSUB_H,
29528 +  AVR32_SYNTAX_PADDSUBH_SH,
29529 +  AVR32_SYNTAX_PADDSUBS_SH,
29530 +  AVR32_SYNTAX_PADDSUBS_UH,
29531 +  AVR32_SYNTAX_PADDX_H,
29532 +  AVR32_SYNTAX_PADDXH_SH,
29533 +  AVR32_SYNTAX_PADDXS_SH,
29534 +  AVR32_SYNTAX_PADDXS_UH,
29535 +  AVR32_SYNTAX_PASR_B,
29536 +  AVR32_SYNTAX_PASR_H,
29537 +  AVR32_SYNTAX_PAVG_SH,
29538 +  AVR32_SYNTAX_PAVG_UB,
29539 +  AVR32_SYNTAX_PLSL_B,
29540 +  AVR32_SYNTAX_PLSL_H,
29541 +  AVR32_SYNTAX_PLSR_B,
29542 +  AVR32_SYNTAX_PLSR_H,
29543 +  AVR32_SYNTAX_PMAX_SH,
29544 +  AVR32_SYNTAX_PMAX_UB,
29545 +  AVR32_SYNTAX_PMIN_SH,
29546 +  AVR32_SYNTAX_PMIN_UB,
29547 +  AVR32_SYNTAX_POPJC,
29548 +  AVR32_SYNTAX_POPM,
29549 +  AVR32_SYNTAX_POPM_E,
29550 +  AVR32_SYNTAX_PREF,
29551 +  AVR32_SYNTAX_PSAD,
29552 +  AVR32_SYNTAX_PSUB_B,
29553 +  AVR32_SYNTAX_PSUB_H,
29554 +  AVR32_SYNTAX_PSUBADD_H,
29555 +  AVR32_SYNTAX_PSUBADDH_SH,
29556 +  AVR32_SYNTAX_PSUBADDS_SH,
29557 +  AVR32_SYNTAX_PSUBADDS_UH,
29558 +  AVR32_SYNTAX_PSUBH_SH,
29559 +  AVR32_SYNTAX_PSUBH_UB,
29560 +  AVR32_SYNTAX_PSUBS_SB,
29561 +  AVR32_SYNTAX_PSUBS_SH,
29562 +  AVR32_SYNTAX_PSUBS_UB,
29563 +  AVR32_SYNTAX_PSUBS_UH,
29564 +  AVR32_SYNTAX_PSUBX_H,
29565 +  AVR32_SYNTAX_PSUBXH_SH,
29566 +  AVR32_SYNTAX_PSUBXS_SH,
29567 +  AVR32_SYNTAX_PSUBXS_UH,
29568 +  AVR32_SYNTAX_PUNPCKSB_H,
29569 +  AVR32_SYNTAX_PUNPCKUB_H,
29570 +  AVR32_SYNTAX_PUSHJC,
29571 +  AVR32_SYNTAX_PUSHM,
29572 +  AVR32_SYNTAX_PUSHM_E,
29573 +  AVR32_SYNTAX_RCALL1,
29574 +  AVR32_SYNTAX_RCALL2,
29575 +  AVR32_SYNTAX_RETEQ,
29576 +  AVR32_SYNTAX_RETNE,
29577 +  AVR32_SYNTAX_RETCC,
29578 +  AVR32_SYNTAX_RETCS,
29579 +  AVR32_SYNTAX_RETGE,
29580 +  AVR32_SYNTAX_RETLT,
29581 +  AVR32_SYNTAX_RETMI,
29582 +  AVR32_SYNTAX_RETPL,
29583 +  AVR32_SYNTAX_RETLS,
29584 +  AVR32_SYNTAX_RETGT,
29585 +  AVR32_SYNTAX_RETLE,
29586 +  AVR32_SYNTAX_RETHI,
29587 +  AVR32_SYNTAX_RETVS,
29588 +  AVR32_SYNTAX_RETVC,
29589 +  AVR32_SYNTAX_RETQS,
29590 +  AVR32_SYNTAX_RETAL,
29591 +  AVR32_SYNTAX_RETHS,
29592 +  AVR32_SYNTAX_RETLO,
29593 +  AVR32_SYNTAX_RETD,
29594 +  AVR32_SYNTAX_RETE,
29595 +  AVR32_SYNTAX_RETJ,
29596 +  AVR32_SYNTAX_RETS,
29597 +  AVR32_SYNTAX_RJMP,
29598 +  AVR32_SYNTAX_ROL,
29599 +  AVR32_SYNTAX_ROR,
29600 +  AVR32_SYNTAX_RSUB1,
29601 +  AVR32_SYNTAX_RSUB2,
29602 +  AVR32_SYNTAX_SATADD_H,
29603 +  AVR32_SYNTAX_SATADD_W,
29604 +  AVR32_SYNTAX_SATRNDS,
29605 +  AVR32_SYNTAX_SATRNDU,
29606 +  AVR32_SYNTAX_SATS,
29607 +  AVR32_SYNTAX_SATSUB_H,
29608 +  AVR32_SYNTAX_SATSUB_W1,
29609 +  AVR32_SYNTAX_SATSUB_W2,
29610 +  AVR32_SYNTAX_SATU,
29611 +  AVR32_SYNTAX_SBC,
29612 +  AVR32_SYNTAX_SBR,
29613 +  AVR32_SYNTAX_SCALL,
29614 +  AVR32_SYNTAX_SCR,
29615 +  AVR32_SYNTAX_SLEEP,
29616 +  AVR32_SYNTAX_SREQ,
29617 +  AVR32_SYNTAX_SRNE,
29618 +  AVR32_SYNTAX_SRCC,
29619 +  AVR32_SYNTAX_SRCS,
29620 +  AVR32_SYNTAX_SRGE,
29621 +  AVR32_SYNTAX_SRLT,
29622 +  AVR32_SYNTAX_SRMI,
29623 +  AVR32_SYNTAX_SRPL,
29624 +  AVR32_SYNTAX_SRLS,
29625 +  AVR32_SYNTAX_SRGT,
29626 +  AVR32_SYNTAX_SRLE,
29627 +  AVR32_SYNTAX_SRHI,
29628 +  AVR32_SYNTAX_SRVS,
29629 +  AVR32_SYNTAX_SRVC,
29630 +  AVR32_SYNTAX_SRQS,
29631 +  AVR32_SYNTAX_SRAL,
29632 +  AVR32_SYNTAX_SRHS,
29633 +  AVR32_SYNTAX_SRLO,
29634 +  AVR32_SYNTAX_SSRF,
29635 +  AVR32_SYNTAX_ST_B1,
29636 +  AVR32_SYNTAX_ST_B2,
29637 +  AVR32_SYNTAX_ST_B5,
29638 +  AVR32_SYNTAX_ST_B3,
29639 +  AVR32_SYNTAX_ST_B4,
29640 +  AVR32_SYNTAX_ST_D1,
29641 +  AVR32_SYNTAX_ST_D2,
29642 +  AVR32_SYNTAX_ST_D3,
29643 +  AVR32_SYNTAX_ST_D5,
29644 +  AVR32_SYNTAX_ST_D4,
29645 +  AVR32_SYNTAX_ST_H1,
29646 +  AVR32_SYNTAX_ST_H2,
29647 +  AVR32_SYNTAX_ST_H5,
29648 +  AVR32_SYNTAX_ST_H3,
29649 +  AVR32_SYNTAX_ST_H4,
29650 +  AVR32_SYNTAX_ST_W1,
29651 +  AVR32_SYNTAX_ST_W2,
29652 +  AVR32_SYNTAX_ST_W5,
29653 +  AVR32_SYNTAX_ST_W3,
29654 +  AVR32_SYNTAX_ST_W4,
29655 +  AVR32_SYNTAX_STC_D1,
29656 +  AVR32_SYNTAX_STC_D2,
29657 +  AVR32_SYNTAX_STC_D3,
29658 +  AVR32_SYNTAX_STC_W1,
29659 +  AVR32_SYNTAX_STC_W2,
29660 +  AVR32_SYNTAX_STC_W3,
29661 +  AVR32_SYNTAX_STC0_D,
29662 +  AVR32_SYNTAX_STC0_W,
29663 +  AVR32_SYNTAX_STCM_D,
29664 +  AVR32_SYNTAX_STCM_D_PU,
29665 +  AVR32_SYNTAX_STCM_W,
29666 +  AVR32_SYNTAX_STCM_W_PU,
29667 +  AVR32_SYNTAX_STCOND,
29668 +  AVR32_SYNTAX_STDSP,
29669 +  AVR32_SYNTAX_STHH_W2,
29670 +  AVR32_SYNTAX_STHH_W1,
29671 +  AVR32_SYNTAX_STM,
29672 +  AVR32_SYNTAX_STM_PU,
29673 +  AVR32_SYNTAX_STMTS,
29674 +  AVR32_SYNTAX_STMTS_PU,
29675 +  AVR32_SYNTAX_STSWP_H,
29676 +  AVR32_SYNTAX_STSWP_W,
29677 +  AVR32_SYNTAX_SUB1,
29678 +  AVR32_SYNTAX_SUB2,
29679 +  AVR32_SYNTAX_SUB5,
29680 +  AVR32_SYNTAX_SUB3_SP,
29681 +  AVR32_SYNTAX_SUB3,
29682 +  AVR32_SYNTAX_SUB4,
29683 +  AVR32_SYNTAX_SUBEQ,
29684 +  AVR32_SYNTAX_SUBNE,
29685 +  AVR32_SYNTAX_SUBCC,
29686 +  AVR32_SYNTAX_SUBCS,
29687 +  AVR32_SYNTAX_SUBGE,
29688 +  AVR32_SYNTAX_SUBLT,
29689 +  AVR32_SYNTAX_SUBMI,
29690 +  AVR32_SYNTAX_SUBPL,
29691 +  AVR32_SYNTAX_SUBLS,
29692 +  AVR32_SYNTAX_SUBGT,
29693 +  AVR32_SYNTAX_SUBLE,
29694 +  AVR32_SYNTAX_SUBHI,
29695 +  AVR32_SYNTAX_SUBVS,
29696 +  AVR32_SYNTAX_SUBVC,
29697 +  AVR32_SYNTAX_SUBQS,
29698 +  AVR32_SYNTAX_SUBAL,
29699 +  AVR32_SYNTAX_SUBHS,
29700 +  AVR32_SYNTAX_SUBLO,
29701 +  AVR32_SYNTAX_SUBFEQ,
29702 +  AVR32_SYNTAX_SUBFNE,
29703 +  AVR32_SYNTAX_SUBFCC,
29704 +  AVR32_SYNTAX_SUBFCS,
29705 +  AVR32_SYNTAX_SUBFGE,
29706 +  AVR32_SYNTAX_SUBFLT,
29707 +  AVR32_SYNTAX_SUBFMI,
29708 +  AVR32_SYNTAX_SUBFPL,
29709 +  AVR32_SYNTAX_SUBFLS,
29710 +  AVR32_SYNTAX_SUBFGT,
29711 +  AVR32_SYNTAX_SUBFLE,
29712 +  AVR32_SYNTAX_SUBFHI,
29713 +  AVR32_SYNTAX_SUBFVS,
29714 +  AVR32_SYNTAX_SUBFVC,
29715 +  AVR32_SYNTAX_SUBFQS,
29716 +  AVR32_SYNTAX_SUBFAL,
29717 +  AVR32_SYNTAX_SUBFHS,
29718 +  AVR32_SYNTAX_SUBFLO,
29719 +  AVR32_SYNTAX_SUBHH_W,
29720 +  AVR32_SYNTAX_SWAP_B,
29721 +  AVR32_SYNTAX_SWAP_BH,
29722 +  AVR32_SYNTAX_SWAP_H,
29723 +  AVR32_SYNTAX_SYNC,
29724 +  AVR32_SYNTAX_TLBR,
29725 +  AVR32_SYNTAX_TLBS,
29726 +  AVR32_SYNTAX_TLBW,
29727 +  AVR32_SYNTAX_TNBZ,
29728 +  AVR32_SYNTAX_TST,
29729 +  AVR32_SYNTAX_XCHG,
29730 +  AVR32_SYNTAX_MEMC,
29731 +  AVR32_SYNTAX_MEMS,
29732 +  AVR32_SYNTAX_MEMT,
29733 +  AVR32_SYNTAX_FMAC_S,
29734 +  AVR32_SYNTAX_FNMAC_S,
29735 +  AVR32_SYNTAX_FMSC_S,
29736 +  AVR32_SYNTAX_FNMSC_S,
29737 +  AVR32_SYNTAX_FMUL_S,
29738 +  AVR32_SYNTAX_FNMUL_S,
29739 +  AVR32_SYNTAX_FADD_S,
29740 +  AVR32_SYNTAX_FSUB_S,
29741 +  AVR32_SYNTAX_FCASTRS_SW,
29742 +  AVR32_SYNTAX_FCASTRS_UW,
29743 +  AVR32_SYNTAX_FCASTSW_S,
29744 +  AVR32_SYNTAX_FCASTUW_S,
29745 +  AVR32_SYNTAX_FCMP_S,
29746 +  AVR32_SYNTAX_FCHK_S,
29747 +  AVR32_SYNTAX_FRCPA_S,
29748 +  AVR32_SYNTAX_FRSQRTA_S,
29749 +  AVR32_SYNTAX_LDA_W,
29750 +  AVR32_SYNTAX_CALL,
29751 +  AVR32_SYNTAX_PICOSVMAC0,
29752 +  AVR32_SYNTAX_PICOSVMAC1,
29753 +  AVR32_SYNTAX_PICOSVMAC2,
29754 +  AVR32_SYNTAX_PICOSVMAC3,
29755 +  AVR32_SYNTAX_PICOSVMUL0,
29756 +  AVR32_SYNTAX_PICOSVMUL1,
29757 +  AVR32_SYNTAX_PICOSVMUL2,
29758 +  AVR32_SYNTAX_PICOSVMUL3,
29759 +  AVR32_SYNTAX_PICOVMAC0,
29760 +  AVR32_SYNTAX_PICOVMAC1,
29761 +  AVR32_SYNTAX_PICOVMAC2,
29762 +  AVR32_SYNTAX_PICOVMAC3,
29763 +  AVR32_SYNTAX_PICOVMUL0,
29764 +  AVR32_SYNTAX_PICOVMUL1,
29765 +  AVR32_SYNTAX_PICOVMUL2,
29766 +  AVR32_SYNTAX_PICOVMUL3,
29767 +  AVR32_SYNTAX_PICOLD_D2,
29768 +  AVR32_SYNTAX_PICOLD_D3,
29769 +  AVR32_SYNTAX_PICOLD_D1,
29770 +  AVR32_SYNTAX_PICOLD_W2,
29771 +  AVR32_SYNTAX_PICOLD_W3,
29772 +  AVR32_SYNTAX_PICOLD_W1,
29773 +  AVR32_SYNTAX_PICOLDM_D,
29774 +  AVR32_SYNTAX_PICOLDM_D_PU,
29775 +  AVR32_SYNTAX_PICOLDM_W,
29776 +  AVR32_SYNTAX_PICOLDM_W_PU,
29777 +  AVR32_SYNTAX_PICOMV_D1,
29778 +  AVR32_SYNTAX_PICOMV_D2,
29779 +  AVR32_SYNTAX_PICOMV_W1,
29780 +  AVR32_SYNTAX_PICOMV_W2,
29781 +  AVR32_SYNTAX_PICOST_D2,
29782 +  AVR32_SYNTAX_PICOST_D3,
29783 +  AVR32_SYNTAX_PICOST_D1,
29784 +  AVR32_SYNTAX_PICOST_W2,
29785 +  AVR32_SYNTAX_PICOST_W3,
29786 +  AVR32_SYNTAX_PICOST_W1,
29787 +  AVR32_SYNTAX_PICOSTM_D,
29788 +  AVR32_SYNTAX_PICOSTM_D_PU,
29789 +  AVR32_SYNTAX_PICOSTM_W,
29790 +  AVR32_SYNTAX_PICOSTM_W_PU,
29791 +  AVR32_SYNTAX_RSUBEQ,
29792 +  AVR32_SYNTAX_RSUBNE,
29793 +  AVR32_SYNTAX_RSUBCC,
29794 +  AVR32_SYNTAX_RSUBCS,
29795 +  AVR32_SYNTAX_RSUBGE,
29796 +  AVR32_SYNTAX_RSUBLT,
29797 +  AVR32_SYNTAX_RSUBMI,
29798 +  AVR32_SYNTAX_RSUBPL,
29799 +  AVR32_SYNTAX_RSUBLS,
29800 +  AVR32_SYNTAX_RSUBGT,
29801 +  AVR32_SYNTAX_RSUBLE,
29802 +  AVR32_SYNTAX_RSUBHI,
29803 +  AVR32_SYNTAX_RSUBVS,
29804 +  AVR32_SYNTAX_RSUBVC,
29805 +  AVR32_SYNTAX_RSUBQS,
29806 +  AVR32_SYNTAX_RSUBAL,
29807 +  AVR32_SYNTAX_RSUBHS,
29808 +  AVR32_SYNTAX_RSUBLO,
29809 +  AVR32_SYNTAX_ADDEQ,
29810 +  AVR32_SYNTAX_ADDNE,
29811 +  AVR32_SYNTAX_ADDCC,
29812 +  AVR32_SYNTAX_ADDCS,
29813 +  AVR32_SYNTAX_ADDGE,
29814 +  AVR32_SYNTAX_ADDLT,
29815 +  AVR32_SYNTAX_ADDMI,
29816 +  AVR32_SYNTAX_ADDPL,
29817 +  AVR32_SYNTAX_ADDLS,
29818 +  AVR32_SYNTAX_ADDGT,
29819 +  AVR32_SYNTAX_ADDLE,
29820 +  AVR32_SYNTAX_ADDHI,
29821 +  AVR32_SYNTAX_ADDVS,
29822 +  AVR32_SYNTAX_ADDVC,
29823 +  AVR32_SYNTAX_ADDQS,
29824 +  AVR32_SYNTAX_ADDAL,
29825 +  AVR32_SYNTAX_ADDHS,
29826 +  AVR32_SYNTAX_ADDLO,
29827 +  AVR32_SYNTAX_SUB2EQ,
29828 +  AVR32_SYNTAX_SUB2NE,
29829 +  AVR32_SYNTAX_SUB2CC,
29830 +  AVR32_SYNTAX_SUB2CS,
29831 +  AVR32_SYNTAX_SUB2GE,
29832 +  AVR32_SYNTAX_SUB2LT,
29833 +  AVR32_SYNTAX_SUB2MI,
29834 +  AVR32_SYNTAX_SUB2PL,
29835 +  AVR32_SYNTAX_SUB2LS,
29836 +  AVR32_SYNTAX_SUB2GT,
29837 +  AVR32_SYNTAX_SUB2LE,
29838 +  AVR32_SYNTAX_SUB2HI,
29839 +  AVR32_SYNTAX_SUB2VS,
29840 +  AVR32_SYNTAX_SUB2VC,
29841 +  AVR32_SYNTAX_SUB2QS,
29842 +  AVR32_SYNTAX_SUB2AL,
29843 +  AVR32_SYNTAX_SUB2HS,
29844 +  AVR32_SYNTAX_SUB2LO,
29845 +  AVR32_SYNTAX_ANDEQ,
29846 +  AVR32_SYNTAX_ANDNE,
29847 +  AVR32_SYNTAX_ANDCC,
29848 +  AVR32_SYNTAX_ANDCS,
29849 +  AVR32_SYNTAX_ANDGE,
29850 +  AVR32_SYNTAX_ANDLT,
29851 +  AVR32_SYNTAX_ANDMI,
29852 +  AVR32_SYNTAX_ANDPL,
29853 +  AVR32_SYNTAX_ANDLS,
29854 +  AVR32_SYNTAX_ANDGT,
29855 +  AVR32_SYNTAX_ANDLE,
29856 +  AVR32_SYNTAX_ANDHI,
29857 +  AVR32_SYNTAX_ANDVS,
29858 +  AVR32_SYNTAX_ANDVC,
29859 +  AVR32_SYNTAX_ANDQS,
29860 +  AVR32_SYNTAX_ANDAL,
29861 +  AVR32_SYNTAX_ANDHS,
29862 +  AVR32_SYNTAX_ANDLO,
29863 +  AVR32_SYNTAX_OREQ,
29864 +  AVR32_SYNTAX_ORNE,
29865 +  AVR32_SYNTAX_ORCC,
29866 +  AVR32_SYNTAX_ORCS,
29867 +  AVR32_SYNTAX_ORGE,
29868 +  AVR32_SYNTAX_ORLT,
29869 +  AVR32_SYNTAX_ORMI,
29870 +  AVR32_SYNTAX_ORPL,
29871 +  AVR32_SYNTAX_ORLS,
29872 +  AVR32_SYNTAX_ORGT,
29873 +  AVR32_SYNTAX_ORLE,
29874 +  AVR32_SYNTAX_ORHI,
29875 +  AVR32_SYNTAX_ORVS,
29876 +  AVR32_SYNTAX_ORVC,
29877 +  AVR32_SYNTAX_ORQS,
29878 +  AVR32_SYNTAX_ORAL,
29879 +  AVR32_SYNTAX_ORHS,
29880 +  AVR32_SYNTAX_ORLO,
29881 +  AVR32_SYNTAX_EOREQ,
29882 +  AVR32_SYNTAX_EORNE,
29883 +  AVR32_SYNTAX_EORCC,
29884 +  AVR32_SYNTAX_EORCS,
29885 +  AVR32_SYNTAX_EORGE,
29886 +  AVR32_SYNTAX_EORLT,
29887 +  AVR32_SYNTAX_EORMI,
29888 +  AVR32_SYNTAX_EORPL,
29889 +  AVR32_SYNTAX_EORLS,
29890 +  AVR32_SYNTAX_EORGT,
29891 +  AVR32_SYNTAX_EORLE,
29892 +  AVR32_SYNTAX_EORHI,
29893 +  AVR32_SYNTAX_EORVS,
29894 +  AVR32_SYNTAX_EORVC,
29895 +  AVR32_SYNTAX_EORQS,
29896 +  AVR32_SYNTAX_EORAL,
29897 +  AVR32_SYNTAX_EORHS,
29898 +  AVR32_SYNTAX_EORLO,
29899 +  AVR32_SYNTAX_LD_WEQ,
29900 +  AVR32_SYNTAX_LD_WNE,
29901 +  AVR32_SYNTAX_LD_WCC,
29902 +  AVR32_SYNTAX_LD_WCS,
29903 +  AVR32_SYNTAX_LD_WGE,
29904 +  AVR32_SYNTAX_LD_WLT,
29905 +  AVR32_SYNTAX_LD_WMI,
29906 +  AVR32_SYNTAX_LD_WPL,
29907 +  AVR32_SYNTAX_LD_WLS,
29908 +  AVR32_SYNTAX_LD_WGT,
29909 +  AVR32_SYNTAX_LD_WLE,
29910 +  AVR32_SYNTAX_LD_WHI,
29911 +  AVR32_SYNTAX_LD_WVS,
29912 +  AVR32_SYNTAX_LD_WVC,
29913 +  AVR32_SYNTAX_LD_WQS,
29914 +  AVR32_SYNTAX_LD_WAL,
29915 +  AVR32_SYNTAX_LD_WHS,
29916 +  AVR32_SYNTAX_LD_WLO,
29917 +  AVR32_SYNTAX_LD_SHEQ,
29918 +  AVR32_SYNTAX_LD_SHNE,
29919 +  AVR32_SYNTAX_LD_SHCC,
29920 +  AVR32_SYNTAX_LD_SHCS,
29921 +  AVR32_SYNTAX_LD_SHGE,
29922 +  AVR32_SYNTAX_LD_SHLT,
29923 +  AVR32_SYNTAX_LD_SHMI,
29924 +  AVR32_SYNTAX_LD_SHPL,
29925 +  AVR32_SYNTAX_LD_SHLS,
29926 +  AVR32_SYNTAX_LD_SHGT,
29927 +  AVR32_SYNTAX_LD_SHLE,
29928 +  AVR32_SYNTAX_LD_SHHI,
29929 +  AVR32_SYNTAX_LD_SHVS,
29930 +  AVR32_SYNTAX_LD_SHVC,
29931 +  AVR32_SYNTAX_LD_SHQS,
29932 +  AVR32_SYNTAX_LD_SHAL,
29933 +  AVR32_SYNTAX_LD_SHHS,
29934 +  AVR32_SYNTAX_LD_SHLO,
29935 +  AVR32_SYNTAX_LD_UHEQ,
29936 +  AVR32_SYNTAX_LD_UHNE,
29937 +  AVR32_SYNTAX_LD_UHCC,
29938 +  AVR32_SYNTAX_LD_UHCS,
29939 +  AVR32_SYNTAX_LD_UHGE,
29940 +  AVR32_SYNTAX_LD_UHLT,
29941 +  AVR32_SYNTAX_LD_UHMI,
29942 +  AVR32_SYNTAX_LD_UHPL,
29943 +  AVR32_SYNTAX_LD_UHLS,
29944 +  AVR32_SYNTAX_LD_UHGT,
29945 +  AVR32_SYNTAX_LD_UHLE,
29946 +  AVR32_SYNTAX_LD_UHHI,
29947 +  AVR32_SYNTAX_LD_UHVS,
29948 +  AVR32_SYNTAX_LD_UHVC,
29949 +  AVR32_SYNTAX_LD_UHQS,
29950 +  AVR32_SYNTAX_LD_UHAL,
29951 +  AVR32_SYNTAX_LD_UHHS,
29952 +  AVR32_SYNTAX_LD_UHLO,
29953 +  AVR32_SYNTAX_LD_SBEQ,
29954 +  AVR32_SYNTAX_LD_SBNE,
29955 +  AVR32_SYNTAX_LD_SBCC,
29956 +  AVR32_SYNTAX_LD_SBCS,
29957 +  AVR32_SYNTAX_LD_SBGE,
29958 +  AVR32_SYNTAX_LD_SBLT,
29959 +  AVR32_SYNTAX_LD_SBMI,
29960 +  AVR32_SYNTAX_LD_SBPL,
29961 +  AVR32_SYNTAX_LD_SBLS,
29962 +  AVR32_SYNTAX_LD_SBGT,
29963 +  AVR32_SYNTAX_LD_SBLE,
29964 +  AVR32_SYNTAX_LD_SBHI,
29965 +  AVR32_SYNTAX_LD_SBVS,
29966 +  AVR32_SYNTAX_LD_SBVC,
29967 +  AVR32_SYNTAX_LD_SBQS,
29968 +  AVR32_SYNTAX_LD_SBAL,
29969 +  AVR32_SYNTAX_LD_SBHS,
29970 +  AVR32_SYNTAX_LD_SBLO,
29971 +  AVR32_SYNTAX_LD_UBEQ,
29972 +  AVR32_SYNTAX_LD_UBNE,
29973 +  AVR32_SYNTAX_LD_UBCC,
29974 +  AVR32_SYNTAX_LD_UBCS,
29975 +  AVR32_SYNTAX_LD_UBGE,
29976 +  AVR32_SYNTAX_LD_UBLT,
29977 +  AVR32_SYNTAX_LD_UBMI,
29978 +  AVR32_SYNTAX_LD_UBPL,
29979 +  AVR32_SYNTAX_LD_UBLS,
29980 +  AVR32_SYNTAX_LD_UBGT,
29981 +  AVR32_SYNTAX_LD_UBLE,
29982 +  AVR32_SYNTAX_LD_UBHI,
29983 +  AVR32_SYNTAX_LD_UBVS,
29984 +  AVR32_SYNTAX_LD_UBVC,
29985 +  AVR32_SYNTAX_LD_UBQS,
29986 +  AVR32_SYNTAX_LD_UBAL,
29987 +  AVR32_SYNTAX_LD_UBHS,
29988 +  AVR32_SYNTAX_LD_UBLO,
29989 +  AVR32_SYNTAX_ST_WEQ,
29990 +  AVR32_SYNTAX_ST_WNE,
29991 +  AVR32_SYNTAX_ST_WCC,
29992 +  AVR32_SYNTAX_ST_WCS,
29993 +  AVR32_SYNTAX_ST_WGE,
29994 +  AVR32_SYNTAX_ST_WLT,
29995 +  AVR32_SYNTAX_ST_WMI,
29996 +  AVR32_SYNTAX_ST_WPL,
29997 +  AVR32_SYNTAX_ST_WLS,
29998 +  AVR32_SYNTAX_ST_WGT,
29999 +  AVR32_SYNTAX_ST_WLE,
30000 +  AVR32_SYNTAX_ST_WHI,
30001 +  AVR32_SYNTAX_ST_WVS,
30002 +  AVR32_SYNTAX_ST_WVC,
30003 +  AVR32_SYNTAX_ST_WQS,
30004 +  AVR32_SYNTAX_ST_WAL,
30005 +  AVR32_SYNTAX_ST_WHS,
30006 +  AVR32_SYNTAX_ST_WLO,
30007 +  AVR32_SYNTAX_ST_HEQ,
30008 +  AVR32_SYNTAX_ST_HNE,
30009 +  AVR32_SYNTAX_ST_HCC,
30010 +  AVR32_SYNTAX_ST_HCS,
30011 +  AVR32_SYNTAX_ST_HGE,
30012 +  AVR32_SYNTAX_ST_HLT,
30013 +  AVR32_SYNTAX_ST_HMI,
30014 +  AVR32_SYNTAX_ST_HPL,
30015 +  AVR32_SYNTAX_ST_HLS,
30016 +  AVR32_SYNTAX_ST_HGT,
30017 +  AVR32_SYNTAX_ST_HLE,
30018 +  AVR32_SYNTAX_ST_HHI,
30019 +  AVR32_SYNTAX_ST_HVS,
30020 +  AVR32_SYNTAX_ST_HVC,
30021 +  AVR32_SYNTAX_ST_HQS,
30022 +  AVR32_SYNTAX_ST_HAL,
30023 +  AVR32_SYNTAX_ST_HHS,
30024 +  AVR32_SYNTAX_ST_HLO,
30025 +  AVR32_SYNTAX_ST_BEQ,
30026 +  AVR32_SYNTAX_ST_BNE,
30027 +  AVR32_SYNTAX_ST_BCC,
30028 +  AVR32_SYNTAX_ST_BCS,
30029 +  AVR32_SYNTAX_ST_BGE,
30030 +  AVR32_SYNTAX_ST_BLT,
30031 +  AVR32_SYNTAX_ST_BMI,
30032 +  AVR32_SYNTAX_ST_BPL,
30033 +  AVR32_SYNTAX_ST_BLS,
30034 +  AVR32_SYNTAX_ST_BGT,
30035 +  AVR32_SYNTAX_ST_BLE,
30036 +  AVR32_SYNTAX_ST_BHI,
30037 +  AVR32_SYNTAX_ST_BVS,
30038 +  AVR32_SYNTAX_ST_BVC,
30039 +  AVR32_SYNTAX_ST_BQS,
30040 +  AVR32_SYNTAX_ST_BAL,
30041 +  AVR32_SYNTAX_ST_BHS,
30042 +  AVR32_SYNTAX_ST_BLO,
30043 +  AVR32_SYNTAX_MOVH,
30044 +  AVR32_SYNTAX__END_
30045 +};
30046 +#define AVR32_NR_SYNTAX AVR32_SYNTAX__END_
30047 +
30048 +enum avr32_alias_type
30049 +  {
30050 +    AVR32_ALIAS_PICOSVMAC0,
30051 +    AVR32_ALIAS_PICOSVMAC1,
30052 +    AVR32_ALIAS_PICOSVMAC2,
30053 +    AVR32_ALIAS_PICOSVMAC3,
30054 +    AVR32_ALIAS_PICOSVMUL0,
30055 +    AVR32_ALIAS_PICOSVMUL1,
30056 +    AVR32_ALIAS_PICOSVMUL2,
30057 +    AVR32_ALIAS_PICOSVMUL3,
30058 +    AVR32_ALIAS_PICOVMAC0,
30059 +    AVR32_ALIAS_PICOVMAC1,
30060 +    AVR32_ALIAS_PICOVMAC2,
30061 +    AVR32_ALIAS_PICOVMAC3,
30062 +    AVR32_ALIAS_PICOVMUL0,
30063 +    AVR32_ALIAS_PICOVMUL1,
30064 +    AVR32_ALIAS_PICOVMUL2,
30065 +    AVR32_ALIAS_PICOVMUL3,
30066 +    AVR32_ALIAS_PICOLD_D1,
30067 +    AVR32_ALIAS_PICOLD_D2,
30068 +    AVR32_ALIAS_PICOLD_D3,
30069 +    AVR32_ALIAS_PICOLD_W1,
30070 +    AVR32_ALIAS_PICOLD_W2,
30071 +    AVR32_ALIAS_PICOLD_W3,
30072 +    AVR32_ALIAS_PICOLDM_D,
30073 +    AVR32_ALIAS_PICOLDM_D_PU,
30074 +    AVR32_ALIAS_PICOLDM_W,
30075 +    AVR32_ALIAS_PICOLDM_W_PU,
30076 +    AVR32_ALIAS_PICOMV_D1,
30077 +    AVR32_ALIAS_PICOMV_D2,
30078 +    AVR32_ALIAS_PICOMV_W1,
30079 +    AVR32_ALIAS_PICOMV_W2,
30080 +    AVR32_ALIAS_PICOST_D1,
30081 +    AVR32_ALIAS_PICOST_D2,
30082 +    AVR32_ALIAS_PICOST_D3,
30083 +    AVR32_ALIAS_PICOST_W1,
30084 +    AVR32_ALIAS_PICOST_W2,
30085 +    AVR32_ALIAS_PICOST_W3,
30086 +    AVR32_ALIAS_PICOSTM_D,
30087 +    AVR32_ALIAS_PICOSTM_D_PU,
30088 +    AVR32_ALIAS_PICOSTM_W,
30089 +    AVR32_ALIAS_PICOSTM_W_PU,
30090 +    AVR32_ALIAS__END_
30091 +  };
30092 +#define AVR32_NR_ALIAS AVR32_ALIAS__END_
30093 +
30094 +enum avr32_mnemonic_type
30095 +{
30096 +  AVR32_MNEMONIC_ABS,
30097 +  AVR32_MNEMONIC_ACALL,
30098 +  AVR32_MNEMONIC_ACR,
30099 +  AVR32_MNEMONIC_ADC,
30100 +  AVR32_MNEMONIC_ADD,
30101 +  AVR32_MNEMONIC_ADDABS,
30102 +  AVR32_MNEMONIC_ADDHH_W,
30103 +  AVR32_MNEMONIC_AND,
30104 +  AVR32_MNEMONIC_ANDH,
30105 +  AVR32_MNEMONIC_ANDL,
30106 +  AVR32_MNEMONIC_ANDN,
30107 +  AVR32_MNEMONIC_ASR,
30108 +  AVR32_MNEMONIC_BFEXTS,
30109 +  AVR32_MNEMONIC_BFEXTU,
30110 +  AVR32_MNEMONIC_BFINS,
30111 +  AVR32_MNEMONIC_BLD,
30112 +  AVR32_MNEMONIC_BREQ,
30113 +  AVR32_MNEMONIC_BRNE,
30114 +  AVR32_MNEMONIC_BRCC,
30115 +  AVR32_MNEMONIC_BRCS,
30116 +  AVR32_MNEMONIC_BRGE,
30117 +  AVR32_MNEMONIC_BRLT,
30118 +  AVR32_MNEMONIC_BRMI,
30119 +  AVR32_MNEMONIC_BRPL,
30120 +  AVR32_MNEMONIC_BRHS,
30121 +  AVR32_MNEMONIC_BRLO,
30122 +  AVR32_MNEMONIC_BRLS,
30123 +  AVR32_MNEMONIC_BRGT,
30124 +  AVR32_MNEMONIC_BRLE,
30125 +  AVR32_MNEMONIC_BRHI,
30126 +  AVR32_MNEMONIC_BRVS,
30127 +  AVR32_MNEMONIC_BRVC,
30128 +  AVR32_MNEMONIC_BRQS,
30129 +  AVR32_MNEMONIC_BRAL,
30130 +  AVR32_MNEMONIC_BREAKPOINT,
30131 +  AVR32_MNEMONIC_BREV,
30132 +  AVR32_MNEMONIC_BST,
30133 +  AVR32_MNEMONIC_CACHE,
30134 +  AVR32_MNEMONIC_CASTS_B,
30135 +  AVR32_MNEMONIC_CASTS_H,
30136 +  AVR32_MNEMONIC_CASTU_B,
30137 +  AVR32_MNEMONIC_CASTU_H,
30138 +  AVR32_MNEMONIC_CBR,
30139 +  AVR32_MNEMONIC_CLZ,
30140 +  AVR32_MNEMONIC_COM,
30141 +  AVR32_MNEMONIC_COP,
30142 +  AVR32_MNEMONIC_CP_B,
30143 +  AVR32_MNEMONIC_CP_H,
30144 +  AVR32_MNEMONIC_CP_W,
30145 +  AVR32_MNEMONIC_CP,
30146 +  AVR32_MNEMONIC_CPC,
30147 +  AVR32_MNEMONIC_CSRF,
30148 +  AVR32_MNEMONIC_CSRFCZ,
30149 +  AVR32_MNEMONIC_DIVS,
30150 +  AVR32_MNEMONIC_DIVU,
30151 +  AVR32_MNEMONIC_EOR,
30152 +  AVR32_MNEMONIC_EORL,
30153 +  AVR32_MNEMONIC_EORH,
30154 +  AVR32_MNEMONIC_FRS,
30155 +  AVR32_MNEMONIC_SSCALL,
30156 +  AVR32_MNEMONIC_RETSS,
30157 +  AVR32_MNEMONIC_ICALL,
30158 +  AVR32_MNEMONIC_INCJOSP,
30159 +  AVR32_MNEMONIC_LD_D,
30160 +  AVR32_MNEMONIC_LD_SB,
30161 +  AVR32_MNEMONIC_LD_UB,
30162 +  AVR32_MNEMONIC_LD_SH,
30163 +  AVR32_MNEMONIC_LD_UH,
30164 +  AVR32_MNEMONIC_LD_W,
30165 +  AVR32_MNEMONIC_LDC_D,
30166 +  AVR32_MNEMONIC_LDC_W,
30167 +  AVR32_MNEMONIC_LDC0_D,
30168 +  AVR32_MNEMONIC_LDC0_W,
30169 +  AVR32_MNEMONIC_LDCM_D,
30170 +  AVR32_MNEMONIC_LDCM_W,
30171 +  AVR32_MNEMONIC_LDDPC,
30172 +  AVR32_MNEMONIC_LDDSP,
30173 +  AVR32_MNEMONIC_LDINS_B,
30174 +  AVR32_MNEMONIC_LDINS_H,
30175 +  AVR32_MNEMONIC_LDM,
30176 +  AVR32_MNEMONIC_LDMTS,
30177 +  AVR32_MNEMONIC_LDSWP_SH,
30178 +  AVR32_MNEMONIC_LDSWP_UH,
30179 +  AVR32_MNEMONIC_LDSWP_W,
30180 +  AVR32_MNEMONIC_LSL,
30181 +  AVR32_MNEMONIC_LSR,
30182 +  AVR32_MNEMONIC_MAC,
30183 +  AVR32_MNEMONIC_MACHH_D,
30184 +  AVR32_MNEMONIC_MACHH_W,
30185 +  AVR32_MNEMONIC_MACS_D,
30186 +  AVR32_MNEMONIC_MACSATHH_W,
30187 +  AVR32_MNEMONIC_MACU_D,
30188 +  AVR32_MNEMONIC_MACWH_D,
30189 +  AVR32_MNEMONIC_MAX,
30190 +  AVR32_MNEMONIC_MCALL,
30191 +  AVR32_MNEMONIC_MFDR,
30192 +  AVR32_MNEMONIC_MFSR,
30193 +  AVR32_MNEMONIC_MIN,
30194 +  AVR32_MNEMONIC_MOV,
30195 +  AVR32_MNEMONIC_MOVEQ,
30196 +  AVR32_MNEMONIC_MOVNE,
30197 +  AVR32_MNEMONIC_MOVCC,
30198 +  AVR32_MNEMONIC_MOVCS,
30199 +  AVR32_MNEMONIC_MOVGE,
30200 +  AVR32_MNEMONIC_MOVLT,
30201 +  AVR32_MNEMONIC_MOVMI,
30202 +  AVR32_MNEMONIC_MOVPL,
30203 +  AVR32_MNEMONIC_MOVLS,
30204 +  AVR32_MNEMONIC_MOVGT,
30205 +  AVR32_MNEMONIC_MOVLE,
30206 +  AVR32_MNEMONIC_MOVHI,
30207 +  AVR32_MNEMONIC_MOVVS,
30208 +  AVR32_MNEMONIC_MOVVC,
30209 +  AVR32_MNEMONIC_MOVQS,
30210 +  AVR32_MNEMONIC_MOVAL,
30211 +  AVR32_MNEMONIC_MOVHS,
30212 +  AVR32_MNEMONIC_MOVLO,
30213 +  AVR32_MNEMONIC_MTDR,
30214 +  AVR32_MNEMONIC_MTSR,
30215 +  AVR32_MNEMONIC_MUL,
30216 +  AVR32_MNEMONIC_MULHH_W,
30217 +  AVR32_MNEMONIC_MULNHH_W,
30218 +  AVR32_MNEMONIC_MULNWH_D,
30219 +  AVR32_MNEMONIC_MULS_D,
30220 +  AVR32_MNEMONIC_MULSATHH_H,
30221 +  AVR32_MNEMONIC_MULSATHH_W,
30222 +  AVR32_MNEMONIC_MULSATRNDHH_H,
30223 +  AVR32_MNEMONIC_MULSATRNDWH_W,
30224 +  AVR32_MNEMONIC_MULSATWH_W,
30225 +  AVR32_MNEMONIC_MULU_D,
30226 +  AVR32_MNEMONIC_MULWH_D,
30227 +  AVR32_MNEMONIC_MUSFR,
30228 +  AVR32_MNEMONIC_MUSTR,
30229 +  AVR32_MNEMONIC_MVCR_D,
30230 +  AVR32_MNEMONIC_MVCR_W,
30231 +  AVR32_MNEMONIC_MVRC_D,
30232 +  AVR32_MNEMONIC_MVRC_W,
30233 +  AVR32_MNEMONIC_NEG,
30234 +  AVR32_MNEMONIC_NOP,
30235 +  AVR32_MNEMONIC_OR,
30236 +  AVR32_MNEMONIC_ORH,
30237 +  AVR32_MNEMONIC_ORL,
30238 +  AVR32_MNEMONIC_PABS_SB,
30239 +  AVR32_MNEMONIC_PABS_SH,
30240 +  AVR32_MNEMONIC_PACKSH_SB,
30241 +  AVR32_MNEMONIC_PACKSH_UB,
30242 +  AVR32_MNEMONIC_PACKW_SH,
30243 +  AVR32_MNEMONIC_PADD_B,
30244 +  AVR32_MNEMONIC_PADD_H,
30245 +  AVR32_MNEMONIC_PADDH_SH,
30246 +  AVR32_MNEMONIC_PADDH_UB,
30247 +  AVR32_MNEMONIC_PADDS_SB,
30248 +  AVR32_MNEMONIC_PADDS_SH,
30249 +  AVR32_MNEMONIC_PADDS_UB,
30250 +  AVR32_MNEMONIC_PADDS_UH,
30251 +  AVR32_MNEMONIC_PADDSUB_H,
30252 +  AVR32_MNEMONIC_PADDSUBH_SH,
30253 +  AVR32_MNEMONIC_PADDSUBS_SH,
30254 +  AVR32_MNEMONIC_PADDSUBS_UH,
30255 +  AVR32_MNEMONIC_PADDX_H,
30256 +  AVR32_MNEMONIC_PADDXH_SH,
30257 +  AVR32_MNEMONIC_PADDXS_SH,
30258 +  AVR32_MNEMONIC_PADDXS_UH,
30259 +  AVR32_MNEMONIC_PASR_B,
30260 +  AVR32_MNEMONIC_PASR_H,
30261 +  AVR32_MNEMONIC_PAVG_SH,
30262 +  AVR32_MNEMONIC_PAVG_UB,
30263 +  AVR32_MNEMONIC_PLSL_B,
30264 +  AVR32_MNEMONIC_PLSL_H,
30265 +  AVR32_MNEMONIC_PLSR_B,
30266 +  AVR32_MNEMONIC_PLSR_H,
30267 +  AVR32_MNEMONIC_PMAX_SH,
30268 +  AVR32_MNEMONIC_PMAX_UB,
30269 +  AVR32_MNEMONIC_PMIN_SH,
30270 +  AVR32_MNEMONIC_PMIN_UB,
30271 +  AVR32_MNEMONIC_POPJC,
30272 +  AVR32_MNEMONIC_POPM,
30273 +  AVR32_MNEMONIC_PREF,
30274 +  AVR32_MNEMONIC_PSAD,
30275 +  AVR32_MNEMONIC_PSUB_B,
30276 +  AVR32_MNEMONIC_PSUB_H,
30277 +  AVR32_MNEMONIC_PSUBADD_H,
30278 +  AVR32_MNEMONIC_PSUBADDH_SH,
30279 +  AVR32_MNEMONIC_PSUBADDS_SH,
30280 +  AVR32_MNEMONIC_PSUBADDS_UH,
30281 +  AVR32_MNEMONIC_PSUBH_SH,
30282 +  AVR32_MNEMONIC_PSUBH_UB,
30283 +  AVR32_MNEMONIC_PSUBS_SB,
30284 +  AVR32_MNEMONIC_PSUBS_SH,
30285 +  AVR32_MNEMONIC_PSUBS_UB,
30286 +  AVR32_MNEMONIC_PSUBS_UH,
30287 +  AVR32_MNEMONIC_PSUBX_H,
30288 +  AVR32_MNEMONIC_PSUBXH_SH,
30289 +  AVR32_MNEMONIC_PSUBXS_SH,
30290 +  AVR32_MNEMONIC_PSUBXS_UH,
30291 +  AVR32_MNEMONIC_PUNPCKSB_H,
30292 +  AVR32_MNEMONIC_PUNPCKUB_H,
30293 +  AVR32_MNEMONIC_PUSHJC,
30294 +  AVR32_MNEMONIC_PUSHM,
30295 +  AVR32_MNEMONIC_RCALL,
30296 +  AVR32_MNEMONIC_RETEQ,
30297 +  AVR32_MNEMONIC_RETNE,
30298 +  AVR32_MNEMONIC_RETCC,
30299 +  AVR32_MNEMONIC_RETCS,
30300 +  AVR32_MNEMONIC_RETGE,
30301 +  AVR32_MNEMONIC_RETLT,
30302 +  AVR32_MNEMONIC_RETMI,
30303 +  AVR32_MNEMONIC_RETPL,
30304 +  AVR32_MNEMONIC_RETLS,
30305 +  AVR32_MNEMONIC_RETGT,
30306 +  AVR32_MNEMONIC_RETLE,
30307 +  AVR32_MNEMONIC_RETHI,
30308 +  AVR32_MNEMONIC_RETVS,
30309 +  AVR32_MNEMONIC_RETVC,
30310 +  AVR32_MNEMONIC_RETQS,
30311 +  AVR32_MNEMONIC_RETAL,
30312 +  AVR32_MNEMONIC_RETHS,
30313 +  AVR32_MNEMONIC_RETLO,
30314 +  AVR32_MNEMONIC_RET,
30315 +  AVR32_MNEMONIC_RETD,
30316 +  AVR32_MNEMONIC_RETE,
30317 +  AVR32_MNEMONIC_RETJ,
30318 +  AVR32_MNEMONIC_RETS,
30319 +  AVR32_MNEMONIC_RJMP,
30320 +  AVR32_MNEMONIC_ROL,
30321 +  AVR32_MNEMONIC_ROR,
30322 +  AVR32_MNEMONIC_RSUB,
30323 +  AVR32_MNEMONIC_SATADD_H,
30324 +  AVR32_MNEMONIC_SATADD_W,
30325 +  AVR32_MNEMONIC_SATRNDS,
30326 +  AVR32_MNEMONIC_SATRNDU,
30327 +  AVR32_MNEMONIC_SATS,
30328 +  AVR32_MNEMONIC_SATSUB_H,
30329 +  AVR32_MNEMONIC_SATSUB_W,
30330 +  AVR32_MNEMONIC_SATU,
30331 +  AVR32_MNEMONIC_SBC,
30332 +  AVR32_MNEMONIC_SBR,
30333 +  AVR32_MNEMONIC_SCALL,
30334 +  AVR32_MNEMONIC_SCR,
30335 +  AVR32_MNEMONIC_SLEEP,
30336 +  AVR32_MNEMONIC_SREQ,
30337 +  AVR32_MNEMONIC_SRNE,
30338 +  AVR32_MNEMONIC_SRCC,
30339 +  AVR32_MNEMONIC_SRCS,
30340 +  AVR32_MNEMONIC_SRGE,
30341 +  AVR32_MNEMONIC_SRLT,
30342 +  AVR32_MNEMONIC_SRMI,
30343 +  AVR32_MNEMONIC_SRPL,
30344 +  AVR32_MNEMONIC_SRLS,
30345 +  AVR32_MNEMONIC_SRGT,
30346 +  AVR32_MNEMONIC_SRLE,
30347 +  AVR32_MNEMONIC_SRHI,
30348 +  AVR32_MNEMONIC_SRVS,
30349 +  AVR32_MNEMONIC_SRVC,
30350 +  AVR32_MNEMONIC_SRQS,
30351 +  AVR32_MNEMONIC_SRAL,
30352 +  AVR32_MNEMONIC_SRHS,
30353 +  AVR32_MNEMONIC_SRLO,
30354 +  AVR32_MNEMONIC_SSRF,
30355 +  AVR32_MNEMONIC_ST_B,
30356 +  AVR32_MNEMONIC_ST_D,
30357 +  AVR32_MNEMONIC_ST_H,
30358 +  AVR32_MNEMONIC_ST_W,
30359 +  AVR32_MNEMONIC_STC_D,
30360 +  AVR32_MNEMONIC_STC_W,
30361 +  AVR32_MNEMONIC_STC0_D,
30362 +  AVR32_MNEMONIC_STC0_W,
30363 +  AVR32_MNEMONIC_STCM_D,
30364 +  AVR32_MNEMONIC_STCM_W,
30365 +  AVR32_MNEMONIC_STCOND,
30366 +  AVR32_MNEMONIC_STDSP,
30367 +  AVR32_MNEMONIC_STHH_W,
30368 +  AVR32_MNEMONIC_STM,
30369 +  AVR32_MNEMONIC_STMTS,
30370 +  AVR32_MNEMONIC_STSWP_H,
30371 +  AVR32_MNEMONIC_STSWP_W,
30372 +  AVR32_MNEMONIC_SUB,
30373 +  AVR32_MNEMONIC_SUBEQ,
30374 +  AVR32_MNEMONIC_SUBNE,
30375 +  AVR32_MNEMONIC_SUBCC,
30376 +  AVR32_MNEMONIC_SUBCS,
30377 +  AVR32_MNEMONIC_SUBGE,
30378 +  AVR32_MNEMONIC_SUBLT,
30379 +  AVR32_MNEMONIC_SUBMI,
30380 +  AVR32_MNEMONIC_SUBPL,
30381 +  AVR32_MNEMONIC_SUBLS,
30382 +  AVR32_MNEMONIC_SUBGT,
30383 +  AVR32_MNEMONIC_SUBLE,
30384 +  AVR32_MNEMONIC_SUBHI,
30385 +  AVR32_MNEMONIC_SUBVS,
30386 +  AVR32_MNEMONIC_SUBVC,
30387 +  AVR32_MNEMONIC_SUBQS,
30388 +  AVR32_MNEMONIC_SUBAL,
30389 +  AVR32_MNEMONIC_SUBHS,
30390 +  AVR32_MNEMONIC_SUBLO,
30391 +  AVR32_MNEMONIC_SUBFEQ,
30392 +  AVR32_MNEMONIC_SUBFNE,
30393 +  AVR32_MNEMONIC_SUBFCC,
30394 +  AVR32_MNEMONIC_SUBFCS,
30395 +  AVR32_MNEMONIC_SUBFGE,
30396 +  AVR32_MNEMONIC_SUBFLT,
30397 +  AVR32_MNEMONIC_SUBFMI,
30398 +  AVR32_MNEMONIC_SUBFPL,
30399 +  AVR32_MNEMONIC_SUBFLS,
30400 +  AVR32_MNEMONIC_SUBFGT,
30401 +  AVR32_MNEMONIC_SUBFLE,
30402 +  AVR32_MNEMONIC_SUBFHI,
30403 +  AVR32_MNEMONIC_SUBFVS,
30404 +  AVR32_MNEMONIC_SUBFVC,
30405 +  AVR32_MNEMONIC_SUBFQS,
30406 +  AVR32_MNEMONIC_SUBFAL,
30407 +  AVR32_MNEMONIC_SUBFHS,
30408 +  AVR32_MNEMONIC_SUBFLO,
30409 +  AVR32_MNEMONIC_SUBHH_W,
30410 +  AVR32_MNEMONIC_SWAP_B,
30411 +  AVR32_MNEMONIC_SWAP_BH,
30412 +  AVR32_MNEMONIC_SWAP_H,
30413 +  AVR32_MNEMONIC_SYNC,
30414 +  AVR32_MNEMONIC_TLBR,
30415 +  AVR32_MNEMONIC_TLBS,
30416 +  AVR32_MNEMONIC_TLBW,
30417 +  AVR32_MNEMONIC_TNBZ,
30418 +  AVR32_MNEMONIC_TST,
30419 +  AVR32_MNEMONIC_XCHG,
30420 +  AVR32_MNEMONIC_MEMC,
30421 +  AVR32_MNEMONIC_MEMS,
30422 +  AVR32_MNEMONIC_MEMT,
30423 +  AVR32_MNEMONIC_FMAC_S,
30424 +  AVR32_MNEMONIC_FNMAC_S,
30425 +  AVR32_MNEMONIC_FMSC_S,
30426 +  AVR32_MNEMONIC_FNMSC_S,
30427 +  AVR32_MNEMONIC_FMUL_S,
30428 +  AVR32_MNEMONIC_FNMUL_S,
30429 +  AVR32_MNEMONIC_FADD_S,
30430 +  AVR32_MNEMONIC_FSUB_S,
30431 +  AVR32_MNEMONIC_FCASTRS_SW,
30432 +  AVR32_MNEMONIC_FCASTRS_UW,
30433 +  AVR32_MNEMONIC_FCASTSW_S,
30434 +  AVR32_MNEMONIC_FCASTUW_S,
30435 +  AVR32_MNEMONIC_FCMP_S,
30436 +  AVR32_MNEMONIC_FCHK_S,
30437 +  AVR32_MNEMONIC_FRCPA_S,
30438 +  AVR32_MNEMONIC_FRSQRTA_S,
30439 +  /* AVR32_MNEMONIC_FLD_S,
30440 +     AVR32_MNEMONIC_FLD_D,
30441 +     AVR32_MNEMONIC_FST_S,
30442 +     AVR32_MNEMONIC_FST_D, */
30443 +  AVR32_MNEMONIC_LDA_W,
30444 +  AVR32_MNEMONIC_CALL,
30445 +  AVR32_MNEMONIC_PICOSVMAC,
30446 +  AVR32_MNEMONIC_PICOSVMUL,
30447 +  AVR32_MNEMONIC_PICOVMAC,
30448 +  AVR32_MNEMONIC_PICOVMUL,
30449 +  AVR32_MNEMONIC_PICOLD_D,
30450 +  AVR32_MNEMONIC_PICOLD_W,
30451 +  AVR32_MNEMONIC_PICOLDM_D,
30452 +  AVR32_MNEMONIC_PICOLDM_W,
30453 +  AVR32_MNEMONIC_PICOMV_D,
30454 +  AVR32_MNEMONIC_PICOMV_W,
30455 +  AVR32_MNEMONIC_PICOST_D,
30456 +  AVR32_MNEMONIC_PICOST_W,
30457 +  AVR32_MNEMONIC_PICOSTM_D,
30458 +  AVR32_MNEMONIC_PICOSTM_W,
30459 +  AVR32_MNEMONIC_RSUBEQ,
30460 +  AVR32_MNEMONIC_RSUBNE,
30461 +  AVR32_MNEMONIC_RSUBCC,
30462 +  AVR32_MNEMONIC_RSUBCS,
30463 +  AVR32_MNEMONIC_RSUBGE,
30464 +  AVR32_MNEMONIC_RSUBLT,
30465 +  AVR32_MNEMONIC_RSUBMI,
30466 +  AVR32_MNEMONIC_RSUBPL,
30467 +  AVR32_MNEMONIC_RSUBLS,
30468 +  AVR32_MNEMONIC_RSUBGT,
30469 +  AVR32_MNEMONIC_RSUBLE,
30470 +  AVR32_MNEMONIC_RSUBHI,
30471 +  AVR32_MNEMONIC_RSUBVS,
30472 +  AVR32_MNEMONIC_RSUBVC,
30473 +  AVR32_MNEMONIC_RSUBQS,
30474 +  AVR32_MNEMONIC_RSUBAL,
30475 +  AVR32_MNEMONIC_RSUBHS,
30476 +  AVR32_MNEMONIC_RSUBLO,
30477 +  AVR32_MNEMONIC_ADDEQ,
30478 +  AVR32_MNEMONIC_ADDNE,
30479 +  AVR32_MNEMONIC_ADDCC,
30480 +  AVR32_MNEMONIC_ADDCS,
30481 +  AVR32_MNEMONIC_ADDGE,
30482 +  AVR32_MNEMONIC_ADDLT,
30483 +  AVR32_MNEMONIC_ADDMI,
30484 +  AVR32_MNEMONIC_ADDPL,
30485 +  AVR32_MNEMONIC_ADDLS,
30486 +  AVR32_MNEMONIC_ADDGT,
30487 +  AVR32_MNEMONIC_ADDLE,
30488 +  AVR32_MNEMONIC_ADDHI,
30489 +  AVR32_MNEMONIC_ADDVS,
30490 +  AVR32_MNEMONIC_ADDVC,
30491 +  AVR32_MNEMONIC_ADDQS,
30492 +  AVR32_MNEMONIC_ADDAL,
30493 +  AVR32_MNEMONIC_ADDHS,
30494 +  AVR32_MNEMONIC_ADDLO,
30495 +  AVR32_MNEMONIC_ANDEQ,
30496 +  AVR32_MNEMONIC_ANDNE,
30497 +  AVR32_MNEMONIC_ANDCC,
30498 +  AVR32_MNEMONIC_ANDCS,
30499 +  AVR32_MNEMONIC_ANDGE,
30500 +  AVR32_MNEMONIC_ANDLT,
30501 +  AVR32_MNEMONIC_ANDMI,
30502 +  AVR32_MNEMONIC_ANDPL,
30503 +  AVR32_MNEMONIC_ANDLS,
30504 +  AVR32_MNEMONIC_ANDGT,
30505 +  AVR32_MNEMONIC_ANDLE,
30506 +  AVR32_MNEMONIC_ANDHI,
30507 +  AVR32_MNEMONIC_ANDVS,
30508 +  AVR32_MNEMONIC_ANDVC,
30509 +  AVR32_MNEMONIC_ANDQS,
30510 +  AVR32_MNEMONIC_ANDAL,
30511 +  AVR32_MNEMONIC_ANDHS,
30512 +  AVR32_MNEMONIC_ANDLO,
30513 +  AVR32_MNEMONIC_OREQ,
30514 +  AVR32_MNEMONIC_ORNE,
30515 +  AVR32_MNEMONIC_ORCC,
30516 +  AVR32_MNEMONIC_ORCS,
30517 +  AVR32_MNEMONIC_ORGE,
30518 +  AVR32_MNEMONIC_ORLT,
30519 +  AVR32_MNEMONIC_ORMI,
30520 +  AVR32_MNEMONIC_ORPL,
30521 +  AVR32_MNEMONIC_ORLS,
30522 +  AVR32_MNEMONIC_ORGT,
30523 +  AVR32_MNEMONIC_ORLE,
30524 +  AVR32_MNEMONIC_ORHI,
30525 +  AVR32_MNEMONIC_ORVS,
30526 +  AVR32_MNEMONIC_ORVC,
30527 +  AVR32_MNEMONIC_ORQS,
30528 +  AVR32_MNEMONIC_ORAL,
30529 +  AVR32_MNEMONIC_ORHS,
30530 +  AVR32_MNEMONIC_ORLO,
30531 +  AVR32_MNEMONIC_EOREQ,
30532 +  AVR32_MNEMONIC_EORNE,
30533 +  AVR32_MNEMONIC_EORCC,
30534 +  AVR32_MNEMONIC_EORCS,
30535 +  AVR32_MNEMONIC_EORGE,
30536 +  AVR32_MNEMONIC_EORLT,
30537 +  AVR32_MNEMONIC_EORMI,
30538 +  AVR32_MNEMONIC_EORPL,
30539 +  AVR32_MNEMONIC_EORLS,
30540 +  AVR32_MNEMONIC_EORGT,
30541 +  AVR32_MNEMONIC_EORLE,
30542 +  AVR32_MNEMONIC_EORHI,
30543 +  AVR32_MNEMONIC_EORVS,
30544 +  AVR32_MNEMONIC_EORVC,
30545 +  AVR32_MNEMONIC_EORQS,
30546 +  AVR32_MNEMONIC_EORAL,
30547 +  AVR32_MNEMONIC_EORHS,
30548 +  AVR32_MNEMONIC_EORLO,
30549 +  AVR32_MNEMONIC_LD_WEQ,
30550 +  AVR32_MNEMONIC_LD_WNE,
30551 +  AVR32_MNEMONIC_LD_WCC,
30552 +  AVR32_MNEMONIC_LD_WCS,
30553 +  AVR32_MNEMONIC_LD_WGE,
30554 +  AVR32_MNEMONIC_LD_WLT,
30555 +  AVR32_MNEMONIC_LD_WMI,
30556 +  AVR32_MNEMONIC_LD_WPL,
30557 +  AVR32_MNEMONIC_LD_WLS,
30558 +  AVR32_MNEMONIC_LD_WGT,
30559 +  AVR32_MNEMONIC_LD_WLE,
30560 +  AVR32_MNEMONIC_LD_WHI,
30561 +  AVR32_MNEMONIC_LD_WVS,
30562 +  AVR32_MNEMONIC_LD_WVC,
30563 +  AVR32_MNEMONIC_LD_WQS,
30564 +  AVR32_MNEMONIC_LD_WAL,
30565 +  AVR32_MNEMONIC_LD_WHS,
30566 +  AVR32_MNEMONIC_LD_WLO,
30567 +  AVR32_MNEMONIC_LD_SHEQ,
30568 +  AVR32_MNEMONIC_LD_SHNE,
30569 +  AVR32_MNEMONIC_LD_SHCC,
30570 +  AVR32_MNEMONIC_LD_SHCS,
30571 +  AVR32_MNEMONIC_LD_SHGE,
30572 +  AVR32_MNEMONIC_LD_SHLT,
30573 +  AVR32_MNEMONIC_LD_SHMI,
30574 +  AVR32_MNEMONIC_LD_SHPL,
30575 +  AVR32_MNEMONIC_LD_SHLS,
30576 +  AVR32_MNEMONIC_LD_SHGT,
30577 +  AVR32_MNEMONIC_LD_SHLE,
30578 +  AVR32_MNEMONIC_LD_SHHI,
30579 +  AVR32_MNEMONIC_LD_SHVS,
30580 +  AVR32_MNEMONIC_LD_SHVC,
30581 +  AVR32_MNEMONIC_LD_SHQS,
30582 +  AVR32_MNEMONIC_LD_SHAL,
30583 +  AVR32_MNEMONIC_LD_SHHS,
30584 +  AVR32_MNEMONIC_LD_SHLO,
30585 +  AVR32_MNEMONIC_LD_UHEQ,
30586 +  AVR32_MNEMONIC_LD_UHNE,
30587 +  AVR32_MNEMONIC_LD_UHCC,
30588 +  AVR32_MNEMONIC_LD_UHCS,
30589 +  AVR32_MNEMONIC_LD_UHGE,
30590 +  AVR32_MNEMONIC_LD_UHLT,
30591 +  AVR32_MNEMONIC_LD_UHMI,
30592 +  AVR32_MNEMONIC_LD_UHPL,
30593 +  AVR32_MNEMONIC_LD_UHLS,
30594 +  AVR32_MNEMONIC_LD_UHGT,
30595 +  AVR32_MNEMONIC_LD_UHLE,
30596 +  AVR32_MNEMONIC_LD_UHHI,
30597 +  AVR32_MNEMONIC_LD_UHVS,
30598 +  AVR32_MNEMONIC_LD_UHVC,
30599 +  AVR32_MNEMONIC_LD_UHQS,
30600 +  AVR32_MNEMONIC_LD_UHAL,
30601 +  AVR32_MNEMONIC_LD_UHHS,
30602 +  AVR32_MNEMONIC_LD_UHLO,
30603 +  AVR32_MNEMONIC_LD_SBEQ,
30604 +  AVR32_MNEMONIC_LD_SBNE,
30605 +  AVR32_MNEMONIC_LD_SBCC,
30606 +  AVR32_MNEMONIC_LD_SBCS,
30607 +  AVR32_MNEMONIC_LD_SBGE,
30608 +  AVR32_MNEMONIC_LD_SBLT,
30609 +  AVR32_MNEMONIC_LD_SBMI,
30610 +  AVR32_MNEMONIC_LD_SBPL,
30611 +  AVR32_MNEMONIC_LD_SBLS,
30612 +  AVR32_MNEMONIC_LD_SBGT,
30613 +  AVR32_MNEMONIC_LD_SBLE,
30614 +  AVR32_MNEMONIC_LD_SBHI,
30615 +  AVR32_MNEMONIC_LD_SBVS,
30616 +  AVR32_MNEMONIC_LD_SBVC,
30617 +  AVR32_MNEMONIC_LD_SBQS,
30618 +  AVR32_MNEMONIC_LD_SBAL,
30619 +  AVR32_MNEMONIC_LD_SBHS,
30620 +  AVR32_MNEMONIC_LD_SBLO,
30621 +  AVR32_MNEMONIC_LD_UBEQ,
30622 +  AVR32_MNEMONIC_LD_UBNE,
30623 +  AVR32_MNEMONIC_LD_UBCC,
30624 +  AVR32_MNEMONIC_LD_UBCS,
30625 +  AVR32_MNEMONIC_LD_UBGE,
30626 +  AVR32_MNEMONIC_LD_UBLT,
30627 +  AVR32_MNEMONIC_LD_UBMI,
30628 +  AVR32_MNEMONIC_LD_UBPL,
30629 +  AVR32_MNEMONIC_LD_UBLS,
30630 +  AVR32_MNEMONIC_LD_UBGT,
30631 +  AVR32_MNEMONIC_LD_UBLE,
30632 +  AVR32_MNEMONIC_LD_UBHI,
30633 +  AVR32_MNEMONIC_LD_UBVS,
30634 +  AVR32_MNEMONIC_LD_UBVC,
30635 +  AVR32_MNEMONIC_LD_UBQS,
30636 +  AVR32_MNEMONIC_LD_UBAL,
30637 +  AVR32_MNEMONIC_LD_UBHS,
30638 +  AVR32_MNEMONIC_LD_UBLO,
30639 +  AVR32_MNEMONIC_ST_WEQ,
30640 +  AVR32_MNEMONIC_ST_WNE,
30641 +  AVR32_MNEMONIC_ST_WCC,
30642 +  AVR32_MNEMONIC_ST_WCS,
30643 +  AVR32_MNEMONIC_ST_WGE,
30644 +  AVR32_MNEMONIC_ST_WLT,
30645 +  AVR32_MNEMONIC_ST_WMI,
30646 +  AVR32_MNEMONIC_ST_WPL,
30647 +  AVR32_MNEMONIC_ST_WLS,
30648 +  AVR32_MNEMONIC_ST_WGT,
30649 +  AVR32_MNEMONIC_ST_WLE,
30650 +  AVR32_MNEMONIC_ST_WHI,
30651 +  AVR32_MNEMONIC_ST_WVS,
30652 +  AVR32_MNEMONIC_ST_WVC,
30653 +  AVR32_MNEMONIC_ST_WQS,
30654 +  AVR32_MNEMONIC_ST_WAL,
30655 +  AVR32_MNEMONIC_ST_WHS,
30656 +  AVR32_MNEMONIC_ST_WLO,
30657 +  AVR32_MNEMONIC_ST_HEQ,
30658 +  AVR32_MNEMONIC_ST_HNE,
30659 +  AVR32_MNEMONIC_ST_HCC,
30660 +  AVR32_MNEMONIC_ST_HCS,
30661 +  AVR32_MNEMONIC_ST_HGE,
30662 +  AVR32_MNEMONIC_ST_HLT,
30663 +  AVR32_MNEMONIC_ST_HMI,
30664 +  AVR32_MNEMONIC_ST_HPL,
30665 +  AVR32_MNEMONIC_ST_HLS,
30666 +  AVR32_MNEMONIC_ST_HGT,
30667 +  AVR32_MNEMONIC_ST_HLE,
30668 +  AVR32_MNEMONIC_ST_HHI,
30669 +  AVR32_MNEMONIC_ST_HVS,
30670 +  AVR32_MNEMONIC_ST_HVC,
30671 +  AVR32_MNEMONIC_ST_HQS,
30672 +  AVR32_MNEMONIC_ST_HAL,
30673 +  AVR32_MNEMONIC_ST_HHS,
30674 +  AVR32_MNEMONIC_ST_HLO,
30675 +  AVR32_MNEMONIC_ST_BEQ,
30676 +  AVR32_MNEMONIC_ST_BNE,
30677 +  AVR32_MNEMONIC_ST_BCC,
30678 +  AVR32_MNEMONIC_ST_BCS,
30679 +  AVR32_MNEMONIC_ST_BGE,
30680 +  AVR32_MNEMONIC_ST_BLT,
30681 +  AVR32_MNEMONIC_ST_BMI,
30682 +  AVR32_MNEMONIC_ST_BPL,
30683 +  AVR32_MNEMONIC_ST_BLS,
30684 +  AVR32_MNEMONIC_ST_BGT,
30685 +  AVR32_MNEMONIC_ST_BLE,
30686 +  AVR32_MNEMONIC_ST_BHI,
30687 +  AVR32_MNEMONIC_ST_BVS,
30688 +  AVR32_MNEMONIC_ST_BVC,
30689 +  AVR32_MNEMONIC_ST_BQS,
30690 +  AVR32_MNEMONIC_ST_BAL,
30691 +  AVR32_MNEMONIC_ST_BHS,
30692 +  AVR32_MNEMONIC_ST_BLO,
30693 +  AVR32_MNEMONIC_MOVH,
30694 +  AVR32_MNEMONIC__END_
30695 +};
30696 +#define AVR32_NR_MNEMONICS AVR32_MNEMONIC__END_
30697 +
30698 +enum avr32_syntax_parser
30699 +  {
30700 +    AVR32_PARSER_NORMAL,
30701 +    AVR32_PARSER_ALIAS,
30702 +    AVR32_PARSER_LDA,
30703 +    AVR32_PARSER_CALL,
30704 +    AVR32_PARSER__END_
30705 +  };
30706 +#define AVR32_NR_PARSERS AVR32_PARSER__END_
30707 --- a/opcodes/configure
30708 +++ b/opcodes/configure
30709 @@ -12417,6 +12417,7 @@ if test x${all_targets} = xfalse ; then
30710         bfd_arc_arch)           ta="$ta arc-dis.lo arc-opc.lo arc-ext.lo" ;;
30711         bfd_arm_arch)           ta="$ta arm-dis.lo" ;;
30712         bfd_avr_arch)           ta="$ta avr-dis.lo" ;;
30713 +       bfd_avr32_arch)         ta="$ta avr32-asm.lo avr32-dis.lo avr32-opc.lo" ;;
30714         bfd_bfin_arch)          ta="$ta bfin-dis.lo" ;;
30715         bfd_cr16_arch)          ta="$ta cr16-dis.lo cr16-opc.lo" ;;
30716         bfd_cris_arch)          ta="$ta cris-dis.lo cris-opc.lo cgen-bitset.lo" ;;
30717 --- a/opcodes/configure.in
30718 +++ b/opcodes/configure.in
30719 @@ -223,6 +223,7 @@ if test x${all_targets} = xfalse ; then
30720         bfd_arc_arch)           ta="$ta arc-dis.lo arc-opc.lo arc-ext.lo" ;;
30721         bfd_arm_arch)           ta="$ta arm-dis.lo" ;;
30722         bfd_avr_arch)           ta="$ta avr-dis.lo" ;;
30723 +       bfd_avr32_arch)         ta="$ta avr32-asm.lo avr32-dis.lo avr32-opc.lo" ;;
30724         bfd_bfin_arch)          ta="$ta bfin-dis.lo" ;;
30725         bfd_cr16_arch)          ta="$ta cr16-dis.lo cr16-opc.lo" ;;
30726         bfd_cris_arch)          ta="$ta cris-dis.lo cris-opc.lo cgen-bitset.lo" ;;
30727 @@ -285,7 +286,7 @@ if test x${all_targets} = xfalse ; then
30728                                 ta="$ta sh64-dis.lo sh64-opc.lo"
30729                                 archdefs="$archdefs -DINCLUDE_SHMEDIA"
30730                                 break;;
30731 -           esac;
30732 +           esac
30733           done
30734                                 ta="$ta sh-dis.lo cgen-bitset.lo" ;;
30735         bfd_sparc_arch)         ta="$ta sparc-dis.lo sparc-opc.lo" ;;
30736 --- a/opcodes/disassemble.c
30737 +++ b/opcodes/disassemble.c
30738 @@ -27,6 +27,7 @@
30739  #define ARCH_arc
30740  #define ARCH_arm
30741  #define ARCH_avr
30742 +#define ARCH_avr32
30743  #define ARCH_bfin
30744  #define ARCH_cr16
30745  #define ARCH_cris
30746 @@ -130,6 +131,11 @@ disassembler (abfd)
30747        disassemble = print_insn_avr;
30748        break;
30749  #endif
30750 +#ifdef ARCH_avr32
30751 +    case bfd_arch_avr32:
30752 +      disassemble = print_insn_avr32;
30753 +      break;
30754 +#endif
30755  #ifdef ARCH_bfin
30756      case bfd_arch_bfin:
30757        disassemble = print_insn_bfin;
30758 @@ -489,6 +495,9 @@ disassembler_usage (stream)
30759  #ifdef ARCH_i386
30760    print_i386_disassembler_options (stream);
30761  #endif
30762 +#ifdef ARCH_avr32
30763 +  print_avr32_disassembler_options (stream);
30764 +#endif
30765  #ifdef ARCH_s390
30766    print_s390_disassembler_options (stream);
30767  #endif
30768 --- a/bfd/elf32-avr32.c
30769 +++ b/bfd/elf32-avr32.c
30770 @@ -352,7 +352,8 @@ struct elf_avr32_link_hash_table
30771    unsigned int relax_pass;
30772  };
30773  #define avr32_elf_hash_table(p)                                \
30774 -  ((struct elf_avr32_link_hash_table *)((p)->hash))
30775 +  (elf_hash_table_id ((struct elf_link_hash_table *) ((p)->hash)) \
30776 +  == AVR32_ELF_DATA ? ((struct elf_avr32_link_hash_table *) ((p)->hash)) : NULL)
30777  
30778  static struct bfd_hash_entry *
30779  avr32_elf_link_hash_newfunc(struct bfd_hash_entry *entry,
30780 @@ -414,7 +415,8 @@ avr32_elf_link_hash_table_create(bfd *ab
30781  
30782    if (! _bfd_elf_link_hash_table_init(&ret->root, abfd,
30783                                       avr32_elf_link_hash_newfunc,
30784 -                      sizeof (struct elf_avr32_link_hash_entry)))
30785 +                      sizeof (struct elf_avr32_link_hash_entry),
30786 +                      AVR32_ELF_DATA))
30787      {
30788        free(ret);
30789        return NULL;
30790 @@ -497,6 +499,9 @@ avr32_elf_create_got_section (bfd *dynob
30791    htab = avr32_elf_hash_table(info);
30792    flags = bed->dynamic_sec_flags;
30793  
30794 +  if (htab == NULL)
30795 +    return FALSE;
30796 +
30797    if (htab->sgot)
30798      return TRUE;
30799  
30800 @@ -534,6 +539,9 @@ avr32_elf_create_dynamic_sections (bfd *
30801    htab = avr32_elf_hash_table(info);
30802    flags = bed->dynamic_sec_flags;
30803  
30804 +  if (htab == NULL)
30805 +    return FALSE;
30806 +
30807    if (!avr32_elf_create_got_section (dynobj, info))
30808      return FALSE;
30809  
30810 @@ -574,6 +582,9 @@ avr32_check_relocs (bfd *abfd, struct bf
30811    symtab_hdr = &elf_tdata(abfd)->symtab_hdr;
30812    sym_hashes = elf_sym_hashes(abfd);
30813    htab = avr32_elf_hash_table(info);
30814 +  if (htab == NULL)
30815 +    return FALSE;
30816 +
30817    local_got_ents = elf_local_got_ents(abfd);
30818    sgot = htab->sgot;
30819  
30820 @@ -756,6 +767,9 @@ avr32_elf_adjust_dynamic_symbol(struct b
30821    havr = (struct elf_avr32_link_hash_entry *)h;
30822    dynobj = elf_hash_table(info)->dynobj;
30823  
30824 +  if (htab == NULL)
30825 +    return FALSE;
30826 +
30827    /* Make sure we know what is going on here.  */
30828    BFD_ASSERT (dynobj != NULL
30829               && (h->u.weakdef != NULL
30830 @@ -1066,6 +1080,9 @@ allocate_dynrelocs(struct elf_link_hash_
30831    htab = avr32_elf_hash_table(info);
30832    havr = (struct elf_avr32_link_hash_entry *)h;
30833  
30834 +  if (htab == NULL)
30835 +    return FALSE;
30836 +
30837    got = h->got.glist;
30838  
30839    /* If got is NULL, the symbol is never referenced through the GOT */
30840 @@ -1117,6 +1134,9 @@ avr32_elf_size_dynamic_sections (bfd *ou
30841    pr_debug("(4) size dynamic sections\n");
30842  
30843    htab = avr32_elf_hash_table(info);
30844 +  if (htab == NULL)
30845 +    return FALSE;
30846 +
30847    dynobj = htab->root.dynobj;
30848    BFD_ASSERT(dynobj != NULL);
30849  
30850 @@ -3260,6 +3280,9 @@ avr32_elf_relocate_section(bfd *output_b
30851      return TRUE;
30852  
30853    htab = avr32_elf_hash_table(info);
30854 +  if (htab == NULL)
30855 +    return FALSE;
30856 +
30857    symtab_hdr = &elf_tdata(input_bfd)->symtab_hdr;
30858    sym_hashes = elf_sym_hashes(input_bfd);
30859    local_got_ents = elf_local_got_ents(input_bfd);
30860 @@ -3582,6 +3605,9 @@ avr32_elf_finish_dynamic_symbol(bfd *out
30861    pr_debug("(7) finish dynamic symbol: %s\n", h->root.root.string);
30862  
30863    htab = avr32_elf_hash_table(info);
30864 +  if (htab == NULL)
30865 +    return FALSE;
30866 +
30867    got = h->got.glist;
30868  
30869    if (got && got->refcount > 0)
30870 @@ -3648,6 +3674,9 @@ avr32_elf_finish_dynamic_sections(bfd *o
30871    pr_debug("(8) finish dynamic sections\n");
30872  
30873    htab = avr32_elf_hash_table(info);
30874 +  if (htab == NULL)
30875 +    return FALSE;
30876 +
30877    sgot = htab->sgot;
30878    sdyn = bfd_get_section_by_name(htab->root.dynobj, ".dynamic");
30879